Index switch?

Hi all,

Is there any module that outputs a user-specified list of integers in a specific range based on CV input? For example from 0 to 4 (0, 1, 2, 3) based on an incoming LFO or something.

I am specifically looking for numerical data, not voltage, for the purpose of selecting presets like sequencer patterns (“play pattern 4, play pattern 3…”). In my day job I use Grasshopper + Rhino3D all day, and I’m looking for something like the “List Item” component, in case anyone’s familiar.

Or, maybe a better question is - how do other folks specify numbers for controlling signal routing, etc? All Voltage?

dh

I was doing something like this last week and the only way I found to do it was to use a comparator module. I used the Lilac Modules Comparator module duplicated 8 times and drove those with a Count Modula “8 STEP SEQUENCER” of integer voltages. I would like a better way of doing this, but it was all I came up with after a lot of work.

1 Like

The VCV OCT module works great as an integer quantizer. It always rounds the magnitude up at 0.5V. So 0.5 rounds to 1, and -0.5 rounds to -1.

Simply patch your CV into the top unlabeled input, and leave the V/Oct input empty - The OUT will be the closest integer. Then it is just a matter of constraining the incoming voltage to the range you want. There are many options for scaling and offsetting CV to cover a given range.

2 Likes

cf Steps module can do integer steps from input CV

2 Likes

There is only one type of output in VCV - and that is voltage. But the value of that voltage can be used to do all kinds of things with it. You can do math and logic (have a look at submarines plugin), use it as V/Oct, Modulation, Audio, etc. While most modules will react to the range +/- 10V, you can use whatever range you like in mathematical operations.

Your specific use-case (selecting a pattern) is bound to the acceptec input voltage of the module you are using. For example Impromptu PhraseSEQ16: The 16 sequences are selected with voltage between 0 and 10V (Or with note values if you select that option in the menu, but that is voltage too). But the same goes for the SEQ32 - so the Voltage which is needed to switch to pattern 9 for example is different for both sequencers.

Edit: First post was incorrectly a reply to countmodular, not to OP…

1 Like

Thank you all. Will spend my Sunday connecting virtual wires, cursing, drinking tea, deleting modules and watching Omri Cohen videos.

Again. :slight_smile:

1 Like

While probably not what you have in mind, you might be interested in a feature I coded into my Meander module for allowing integers from 0 to 63 to be encoded as a voltage from 0.0-7.7 volts as “octal radix” numbers. The octal radix format was as octave.degree where the degree was the musical diatonic degree from 1 to 7. Anyway, using the formula index= (octave*8)+degree, I could convert to an integer from 0 to 63 for use internally to Meander. One could use a nonal radix (base 9) to represent integers from 0-81, which is the maximum possible within the 10V VCV standard. Since the diatonic degrees run from 1-7, I did not take full advantage of the range since I did not use degree=0. I did not look back at my code for this reply, so take it with a grain of salt. I may have misstated the integer ranges.

1 Like

I find the most readable and powerful way to, for instance, switch through indexes and sequences of the Impromptu modules is by use of Mindmeld’s Shapemaster.

image

1 Like

Bliss…

1 Like

Just a middle of the night after-thought here. It made sense to use the octal radix CV interpretation in Meander since I could represent notes as based on octave and degree. For a general purpose integer conversion and scaling of CV, it probably makes more sense to do something such as decimal rescaling such as having integers represented as millivolts (0.001 to 0.999( and the receiving module to multiply by 1000 for an integer conversion to the range 1-999. Of course all sorts of schemes could be used, but still a bit confusing to users.

This is an interesting question for me, but probably is just adding noise to this topic.

If I understood the problem description correctly, this might solve it with ease: the LFO (Bogaudio) is set to output a sine wave with CV from 0 to 1 V, after clicking the button (RCM) the sample-and-hold module (Bogaudio) will grab a decimal value of that CV, the quantizer (ML Modules) behind will round it to values 0, 0.25, 0.5, 0.75 or 1 V, finally the Const Add Mult Module (NYSTHI) will multiply the result by 4. Result: you receive distinct integer values 0, 1, 2, 3 or 4 V. Of course, to integrate this into whatever you’re doing, you may need to adept the process in parts. The trigger for example doesn’t need to be a manually clicked button, any trigger/gate from a module would do just fine. This solution might fail, if you try to set it to integer values that can’t be set to the quantizer.

1 Like

Hi all,

Thank you so much for taking the time to respond here. Many good ideas to chew on, and I’d like to clarify my question to (hopefully) get closer to what I’m asking:

I’d like to tell a quantizer, “use keys 5, 7, 3, 3” etc. With that in mind would any of the above suggestions make more sense than others?

Gracias,

dh

My Sundays are mostly like this :slight_smile: