[0.008 info adapters/standalone.cpp:127 main] VCV Rack Pro v2.1.0 [0.008 info adapters/standalone.cpp:128 main] Standalone [0.008 info adapters/standalone.cpp:129 main] Windows 10.0 [0.008 info adapters/standalone.cpp:135 main] Args: C:\Program Files\VCV\Rack2Pro\Rack.exe [0.008 info adapters/standalone.cpp:138 main] System directory: C:\Program Files\VCV\Rack2Pro [0.008 info adapters/standalone.cpp:139 main] User directory: C:/Users/k-cha/Documents/Rack2 [0.009 info adapters/standalone.cpp:143 main] System time: 2022-04-21 04:59:36 Central Daylight Time [0.009 info src/settings.cpp:455 load] Loading settings C:/Users/k-cha/Documents/Rack2/settings.json [0.018 info adapters/standalone.cpp:166 main] Initializing network [0.027 info adapters/standalone.cpp:169 main] Initializing audio [0.027 info src/rtaudio.cpp:234 RtAudioDriver] Creating RtAudio WASAPI driver [0.028 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/Rack2Pro.vcvkey?version=2&machineId=B22C2DE6E5 [0.079 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio WASAPI device 0: Focusrite USB (Focusrite USB Audio) (0 in, 2 out) [0.099 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio WASAPI device 1: Realtek Digital Output (Realtek(R) Audio) (0 in, 2 out) [0.119 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio WASAPI device 2: Focusrite USB (Focusrite USB Audio) (2 in, 0 out) [0.119 info src/rtaudio.cpp:234 RtAudioDriver] Creating RtAudio ASIO driver [0.146 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio ASIO device 0: Focusrite USB ASIO (6 in, 6 out) [0.180 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio ASIO device 1: iConnectivity ASIO Driver (0 in, 0 out) [0.201 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio ASIO device 2: Realtek ASIO (2 in, 2 out) [0.201 info src/rtaudio.cpp:234 RtAudioDriver] Creating RtAudio DirectSound driver [0.374 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio DirectSound device 0: Primary Sound Driver (0 in, 2 out) [0.388 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio DirectSound device 1: Focusrite USB (Focusrite USB Audio) (0 in, 2 out) [0.404 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio DirectSound device 2: Realtek Digital Output (Realtek(R) Audio) (0 in, 2 out) [0.405 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio DirectSound device 3: Primary Sound Capture Driver (2 in, 0 out) [0.405 info adapters/standalone.cpp:172 main] Initializing MIDI [0.405 info adapters/standalone.cpp:177 main] Initializing plugins [0.405 info src/plugin.cpp:152 loadPlugin] Loading Core plugin [0.409 info src/plugin.cpp:212 loadPlugin] Loaded Core v2.1.0 [0.420 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/21kHz [0.452 info src/plugin.cpp:212 loadPlugin] Loaded 21kHz v2.0.1 [0.452 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/AaronStatic [0.491 info src/plugin.cpp:212 loadPlugin] Loaded AaronStatic v2.0.0 [0.491 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Ahornberg [0.518 info src/plugin.cpp:212 loadPlugin] Loaded Ahornberg v2.1.3 [0.518 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Alikins [0.580 info src/plugin.cpp:212 loadPlugin] Loaded Alikins v2.0.1 [0.581 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/AlliewayAudio_Freebies [0.612 info src/plugin.cpp:212 loadPlugin] Loaded AlliewayAudio_Freebies v2.0.6 [0.612 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/AlliewayAudio_Series_I [0.653 info src/plugin.cpp:212 loadPlugin] Loaded AlliewayAudio_Series_I v2.0.6.4e301fc [0.653 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/AlrightDevices [0.702 info src/plugin.cpp:212 loadPlugin] Loaded AlrightDevices v2.0.0 [0.702 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/AmalgamatedHarmonics [0.791 info src/plugin.cpp:212 loadPlugin] Loaded AmalgamatedHarmonics v2.0.0 [0.791 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/AnimatedCircuits [0.824 info src/plugin.cpp:212 loadPlugin] Loaded AnimatedCircuits v2.2.0 [0.824 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/AS [0.915 info src/plugin.cpp:212 loadPlugin] Loaded AS v2.0.3 [0.915 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/AS-Seqs-n-Tools [0.942 info src/plugin.cpp:212 loadPlugin] Loaded AS-Seqs-n-Tools v2.0.1 [0.942 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Atelier [0.991 info src/plugin.cpp:212 loadPlugin] Loaded Atelier v2.0.2 [0.991 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/AudibleInstruments [1.094 info src/plugin.cpp:212 loadPlugin] Loaded AudibleInstruments v2.0.0 [1.094 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Autinn [1.114 info src/plugin.cpp:212 loadPlugin] Loaded Autinn v2.1.0 [1.115 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Autodafe [1.210 info src/plugin.cpp:212 loadPlugin] Loaded Autodafe v2.0.0 [1.210 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Autodafe-DrumKit [1.309 info src/plugin.cpp:212 loadPlugin] Loaded Autodafe-DrumKit v2.0.0 [1.310 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/az [1.342 info src/plugin.cpp:212 loadPlugin] Loaded az v2.0.1 [1.342 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/BaconMusic [1.364 info src/plugin.cpp:212 loadPlugin] Loaded BaconMusic v2.0.0 [1.364 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Bark [1.419 info src/plugin.cpp:212 loadPlugin] Loaded Bark v2.3.1 [1.419 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Befaco [1.667 info src/plugin.cpp:212 loadPlugin] Loaded Befaco v2.1.1 [1.667 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Bidoo [1.805 info src/plugin.cpp:212 loadPlugin] Loaded Bidoo v2.0.13 [1.805 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Bogaudio [1.852 info src/plugin.cpp:212 loadPlugin] Loaded Bogaudio v2.0.39 [1.852 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/CatroModulo [1.894 info src/plugin.cpp:212 loadPlugin] Loaded CatroModulo v2.0.0 [1.894 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/cf [1.953 info src/plugin.cpp:212 loadPlugin] Loaded cf v2.0.2 [1.954 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/CharredDesert [2.003 info src/plugin.cpp:212 loadPlugin] Loaded CharredDesert v2.0.0 [2.003 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/ChowDSP [2.024 info src/plugin.cpp:212 loadPlugin] Loaded ChowDSP v2.0.1 [2.024 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/computerscare [2.135 info src/plugin.cpp:212 loadPlugin] Loaded computerscare v2.0.1 [2.135 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/CountModula [2.269 info src/plugin.cpp:212 loadPlugin] Loaded CountModula v2.1.0 [2.269 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/cvly [2.310 info src/plugin.cpp:212 loadPlugin] Loaded cvly v2.0.1 [2.311 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/DanTModules [2.333 info src/plugin.cpp:212 loadPlugin] Loaded DanTModules v2.3.3 [2.333 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/dBiz [2.355 info src/plugin.cpp:212 loadPlugin] Loaded dBiz v2.0.3 [2.355 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/dbRackFormulaOne [2.698 info src/plugin.cpp:212 loadPlugin] Loaded dbRackFormulaOne v2.0.0 [2.698 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/dbRackModules [2.810 info src/plugin.cpp:212 loadPlugin] Loaded dbRackModules v2.0.5 [2.810 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/DHE-Modules [2.914 info src/plugin.cpp:212 loadPlugin] Loaded DHE-Modules v2.0.3 [2.914 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/DrumKit [3.461 info src/plugin.cpp:212 loadPlugin] Loaded DrumKit v2.0.0 [3.461 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/DrumKitPro [5.616 info src/plugin.cpp:212 loadPlugin] Loaded DrumKitPro v2.0.0 [5.616 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Entrian-AcousticDrums [5.673 info src/plugin.cpp:212 loadPlugin] Loaded Entrian-AcousticDrums v2.6.24 [5.673 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Entrian-Free [5.810 info src/plugin.cpp:212 loadPlugin] Loaded Entrian-Free v2.1.24 [5.811 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Entrian-Sequencers [5.946 info src/plugin.cpp:212 loadPlugin] Loaded Entrian-Sequencers v2.1.24 [5.946 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/EricaCopies [6.083 info src/plugin.cpp:212 loadPlugin] Loaded EricaCopies v2.0.2 [6.083 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/ESeries [6.119 info src/plugin.cpp:212 loadPlugin] Loaded ESeries v2.0.2 [6.119 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/FehlerFabrik-Suite [6.264 info src/plugin.cpp:212 loadPlugin] Loaded FehlerFabrik-Suite v2.0.2 [6.264 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/FrequencyDomain [6.350 info src/plugin.cpp:212 loadPlugin] Loaded FrequencyDomain v2.0.0 [6.350 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/FrozenWasteland [6.375 info src/plugin.cpp:212 loadPlugin] Loaded FrozenWasteland v2.0.6 [6.376 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Fundamental [6.452 info src/plugin.cpp:212 loadPlugin] Loaded Fundamental v2.1.0 [6.452 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Geodesics [6.521 info src/plugin.cpp:212 loadPlugin] Loaded Geodesics v2.2.5 [6.521 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Geodesics-Vultiverse [6.595 info src/plugin.cpp:212 loadPlugin] Loaded Geodesics-Vultiverse v2.0.1 [6.595 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/GlueTheGiant [6.596 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/Geodesics-Vultiverse.vcvkey?version=2&machineId=B22C2DE6E5 [6.675 info src/plugin.cpp:212 loadPlugin] Loaded GlueTheGiant v2.0.1 [6.675 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/GoodSheperd [6.721 info src/plugin.cpp:212 loadPlugin] Loaded GoodSheperd v2.0.0 [6.721 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/GrandeModular [6.809 info src/plugin.cpp:212 loadPlugin] Loaded GrandeModular v2.4.0 [6.810 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Grayscale [6.893 info src/plugin.cpp:212 loadPlugin] Loaded Grayscale v2.0.2 [6.893 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/HamptonHarmonics [6.932 info src/plugin.cpp:212 loadPlugin] Loaded HamptonHarmonics v2.0.1 [6.933 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/HetrickCV [6.959 info src/plugin.cpp:212 loadPlugin] Loaded HetrickCV v2.0.0 [6.959 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/HolonicSystems-Free [7.039 info src/plugin.cpp:212 loadPlugin] Loaded HolonicSystems-Free v2.0.7 [7.039 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Hora-ModulationFree [7.075 info src/plugin.cpp:212 loadPlugin] Loaded Hora-ModulationFree v2.0.2 [7.075 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Hora-PCMDrum [7.170 info src/plugin.cpp:212 loadPlugin] Loaded Hora-PCMDrum v2.0.1 [7.170 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Hora-PCMDrumFree [7.232 info src/plugin.cpp:212 loadPlugin] Loaded Hora-PCMDrumFree v2.0.1 [7.233 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Hora-Processors [7.310 info src/plugin.cpp:212 loadPlugin] Loaded Hora-Processors v2.0.1 [7.310 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Hora-treasureFree [7.361 info src/plugin.cpp:212 loadPlugin] Loaded Hora-treasureFree v2.0.1 [7.361 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Hora-VCO_VCF_VCA_Free [7.433 info src/plugin.cpp:212 loadPlugin] Loaded Hora-VCO_VCF_VCA_Free v2.0.3 [7.433 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/IggyLabsModules [7.470 info src/plugin.cpp:212 loadPlugin] Loaded IggyLabsModules v2.0.0 [7.470 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/ImpromptuModular [7.582 info src/plugin.cpp:212 loadPlugin] Loaded ImpromptuModular v2.1.0 [7.582 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Instruo [7.646 info src/plugin.cpp:212 loadPlugin] Loaded Instruo v2.0.0 [7.646 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/JW-Modules [7.668 info src/plugin.cpp:212 loadPlugin] Loaded JW-Modules v2.0.2 [7.669 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/LifeFormModular [7.711 info src/plugin.cpp:212 loadPlugin] Loaded LifeFormModular v2.0 [7.711 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/LilacModules [7.742 info src/plugin.cpp:212 loadPlugin] Loaded LilacModules v2.0.0 [7.743 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/LittleUtils [7.782 info src/plugin.cpp:212 loadPlugin] Loaded LittleUtils v2.0.0 [7.782 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/LomasModules [7.821 info src/plugin.cpp:212 loadPlugin] Loaded LomasModules v2.0.0 [7.821 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Mental [7.886 info src/plugin.cpp:212 loadPlugin] Loaded Mental v2.0.0 [7.886 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/MindMeld-ShapeMasterPro [7.913 info src/plugin.cpp:212 loadPlugin] Loaded MindMeld-ShapeMasterPro v2.0.6 [7.913 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular [7.913 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/MindMeld-ShapeMasterPro.vcvkey?version=2&machineId=B22C2DE6E5 [8.048 info src/plugin.cpp:212 loadPlugin] Loaded MindMeldModular v2.1.0 [8.048 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/ML_modules [8.130 info src/plugin.cpp:212 loadPlugin] Loaded ML_modules v2.0.0 [8.130 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/ML_modules_QU [8.172 info src/plugin.cpp:212 loadPlugin] Loaded ML_modules_QU v2.0.1 [8.172 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/MM_Tools [8.218 info src/plugin.cpp:212 loadPlugin] Loaded MM_Tools v2.0.0 [8.219 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/MockbaModular [8.278 info src/plugin.cpp:212 loadPlugin] Loaded MockbaModular v2.0.0 [8.279 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/modular80 [8.337 info src/plugin.cpp:212 loadPlugin] Loaded modular80 v2.0.1 [8.337 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/MSM [8.361 info src/plugin.cpp:212 loadPlugin] Loaded MSM v2.0.3 [8.361 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/NANOModules [8.405 info src/plugin.cpp:212 loadPlugin] Loaded NANOModules v2.0.0.0 [8.406 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/NonlinearCircuits [8.444 info src/plugin.cpp:212 loadPlugin] Loaded NonlinearCircuits v2.0.0 [8.445 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/NYSTHI [8.545 info src/plugin.cpp:212 loadPlugin] Loaded NYSTHI v2.0.15 [8.545 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Ohmer [8.608 info src/plugin.cpp:212 loadPlugin] Loaded Ohmer v2.1.0 [8.608 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/OhmerPrems [8.750 info src/plugin.cpp:212 loadPlugin] Loaded OhmerPrems v2.0.1 [8.750 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/OrangeLine [8.817 info src/plugin.cpp:212 loadPlugin] Loaded OrangeLine v2.4.1 [8.817 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/OSCelot [8.841 info src/plugin.cpp:212 loadPlugin] Loaded OSCelot v2.0.0 [8.841 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Oxidlab-Entferner-Free [8.882 info src/plugin.cpp:212 loadPlugin] Loaded Oxidlab-Entferner-Free v2.0.6 [8.882 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/PathSet [8.957 info src/plugin.cpp:212 loadPlugin] Loaded PathSet v2.2.0 [8.957 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/PdArray [8.978 info src/plugin.cpp:212 loadPlugin] Loaded PdArray v2.0.6 [8.978 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Prism [9.001 info src/plugin.cpp:212 loadPlugin] Loaded Prism v2.3.3 [9.001 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Prok-Modular [9.116 info src/plugin.cpp:212 loadPlugin] Loaded Prok-Modular v2.0.2 [9.116 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/PS-PurrSoftware [9.190 info src/plugin.cpp:212 loadPlugin] Loaded PS-PurrSoftware v2.0.17 [9.190 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/rchoices [9.219 info src/plugin.cpp:212 loadPlugin] Loaded rchoices v2.0.0 [9.219 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/rcm [9.287 info src/plugin.cpp:212 loadPlugin] Loaded rcm v2.0.0 [9.287 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/scanner-darkly-collection-one [9.321 info src/plugin.cpp:212 loadPlugin] Loaded scanner-darkly-collection-one v2.0.4 [9.321 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Sckitam [9.361 info src/plugin.cpp:212 loadPlugin] Loaded Sckitam v2.0.1 [9.361 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Sha-Bang-Modules [9.433 info src/plugin.cpp:212 loadPlugin] Loaded Sha-Bang-Modules v2.1.1 [9.433 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/SonusModular [9.457 info src/plugin.cpp:212 loadPlugin] Loaded SonusModular v2.0.0 [9.457 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/squinkylabs-plug1 [9.603 info src/plugin.cpp:212 loadPlugin] Loaded squinkylabs-plug1 v2.1.4 [9.603 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/squinkytronix-plug1 [9.666 info src/plugin.cpp:212 loadPlugin] Loaded squinkytronix-plug1 v2.0.0 [9.666 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/StellareModular [9.685 info src/plugin.cpp:212 loadPlugin] Loaded StellareModular v2.0.0 [9.685 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/StellareModular-CreativeSuite [9.746 info src/plugin.cpp:212 loadPlugin] Loaded StellareModular-CreativeSuite v2.0.0 [9.746 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/StellareModular-Link [9.769 info src/plugin.cpp:212 loadPlugin] Loaded StellareModular-Link v2.0.0 [9.769 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/stocaudio [9.844 info src/plugin.cpp:212 loadPlugin] Loaded stocaudio v2.0.1 [9.845 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Stoev-Interference [9.881 info src/plugin.cpp:212 loadPlugin] Loaded Stoev-Interference v2.1.1 [9.881 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/SubmarineFree [9.913 info src/plugin.cpp:212 loadPlugin] Loaded SubmarineFree v2.0.4 [9.913 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/SurgeRack [10.021 info src/Surge.cpp:23 init] [SurgeRack] initializing [10.021 info src/plugin.cpp:212 loadPlugin] Loaded SurgeRack v2.1.7.0 [10.021 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Synthetic [10.080 info src/plugin.cpp:212 loadPlugin] Loaded Synthetic v2.0.0 [10.080 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/SynthKit [10.122 info src/plugin.cpp:212 loadPlugin] Loaded SynthKit v2.0.1 [10.122 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/TinyTricks [10.144 info src/plugin.cpp:212 loadPlugin] Loaded TinyTricks v2.5.0 [10.144 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Tonecarver [10.163 info src/plugin.cpp:212 loadPlugin] Loaded Tonecarver v2.0.0 [10.163 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/UnfilteredVolume1 [10.256 info src/plugin.cpp:212 loadPlugin] Loaded UnfilteredVolume1 v2.0.4 [10.257 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/unless_modules [10.257 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/UnfilteredVolume1.vcvkey?version=2&machineId=B22C2DE6E5 [10.347 info src/theme.hpp:217 check] [ unless ] loading color theme from ./unlessgames/theme.json [10.350 info src/plugin.cpp:212 loadPlugin] Loaded unless_modules v2.2.5 [10.350 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Valley [10.482 info src/plugin.cpp:212 loadPlugin] Loaded Valley v2.0.4 [10.482 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/VCV-Chords [10.525 info src/plugin.cpp:212 loadPlugin] Loaded VCV-Chords v2.0.3 [10.525 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/VCV-Console [10.526 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/VCV-Chords.vcvkey?version=2&machineId=B22C2DE6E5 [10.574 info src/plugin.cpp:212 loadPlugin] Loaded VCV-Console v2.0.4 [10.574 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/VCV-Drums [10.575 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/VCV-Console.vcvkey?version=2&machineId=B22C2DE6E5 [10.660 info src/plugin.cpp:212 loadPlugin] Loaded VCV-Drums v2.1.7 [10.660 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/VCV-Host [10.661 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/VCV-Drums.vcvkey?version=2&machineId=B22C2DE6E5 [10.699 info src/plugin.cpp:212 loadPlugin] Loaded VCV-Host v2.0.3 [10.700 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/VCV-PulseMatrix [10.700 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/VCV-Host.vcvkey?version=2&machineId=B22C2DE6E5 [10.750 info src/plugin.cpp:212 loadPlugin] Loaded VCV-PulseMatrix v2.0.3 [10.750 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/VCV-Recorder [10.750 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/VCV-PulseMatrix.vcvkey?version=2&machineId=B22C2DE6E5 [10.779 info src/plugin.cpp:212 loadPlugin] Loaded VCV-Recorder v2.0.0 [10.779 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/VCV-Router [10.827 info src/plugin.cpp:212 loadPlugin] Loaded VCV-Router v2.0.4 [10.827 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/VCV-Scalar [10.828 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/VCV-Router.vcvkey?version=2&machineId=B22C2DE6E5 [10.872 info src/plugin.cpp:212 loadPlugin] Loaded VCV-Scalar v2.0.3 [10.872 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/VCV-SoundStage [10.873 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/VCV-Scalar.vcvkey?version=2&machineId=B22C2DE6E5 [10.910 info src/plugin.cpp:212 loadPlugin] Loaded VCV-SoundStage v2.0.4 [10.910 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/voxglitch [10.911 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/VCV-SoundStage.vcvkey?version=2&machineId=B22C2DE6E5 [11.048 info src/plugin.cpp:212 loadPlugin] Loaded voxglitch v2.11.1 [11.049 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/VultCompacts [11.183 info src/plugin.cpp:212 loadPlugin] Loaded VultCompacts v2.0.4 [11.183 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/VultModules [11.183 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/VultCompacts.vcvkey?version=2&machineId=B22C2DE6E5 [11.315 info src/plugin.cpp:212 loadPlugin] Loaded VultModules v2.0.4 [11.316 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/VultMysteries [11.316 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/VultModules.vcvkey?version=2&machineId=B22C2DE6E5 [11.417 info src/plugin.cpp:212 loadPlugin] Loaded VultMysteries v2.0.4 [11.417 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/ZetaCarinae [11.418 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/VultMysteries.vcvkey?version=2&machineId=B22C2DE6E5 [11.454 info src/plugin.cpp:212 loadPlugin] Loaded ZetaCarinae v2.0.4 [11.454 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/ZZC [11.548 info src/plugin.cpp:212 loadPlugin] Loaded ZZC v2.0.2 [11.548 info adapters/standalone.cpp:179 main] Initializing browser [11.556 info adapters/standalone.cpp:181 main] Initializing library [11.556 info adapters/standalone.cpp:185 main] Initializing UI [11.556 info adapters/standalone.cpp:187 main] Initializing window [11.568 info adapters/standalone.cpp:193 main] Creating engine [11.568 info adapters/standalone.cpp:195 main] Creating history state [11.568 info adapters/standalone.cpp:197 main] Creating event state [11.568 info adapters/standalone.cpp:199 main] Creating scene [11.573 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/Rail.svg [11.578 info adapters/standalone.cpp:202 main] Creating patch manager [11.578 info adapters/standalone.cpp:205 main] Creating window [12.149 info src/window/Window.cpp:352 Window] Window content scale: 1.000000 [12.188 info src/window/Window.cpp:413 Window] Renderer: NVIDIA Corporation GeForce GTX 1080/PCIe/SSE2 [12.188 info src/window/Window.cpp:414 Window] OpenGL: 4.6.0 NVIDIA 456.71 [12.193 info src/window/Window.cpp:50 loadFile] Loaded font C:/Program Files/VCV/Rack2Pro/res/fonts/DejaVuSans.ttf [12.194 info src/patch.cpp:368 hasAutosave] Loading autosave C:/Users/k-cha/Documents/Rack2/autosave/patch.json [12.198 info src/patch.cpp:379 loadAutosave] Loading autosave C:/Users/k-cha/Documents/Rack2/autosave/patch.json [12.204 info src/engine/Engine.cpp:1395 fromJson] Creating module VCV Audio 2 [12.204 info src/rtaudio.cpp:52 RtAudioDevice] Creating RtAudio WASAPI device [12.226 info src/rtaudio.cpp:119 openStream] Opening RtAudio WASAPI device 0: Focusrite USB (Focusrite USB Audio) (0 in, 2 out, 48000 sample rate, 256 block size) [12.269 info src/rtaudio.cpp:129 openStream] Starting RtAudio WASAPI device 0 [12.269 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu Clocked [12.270 info src/engine/Engine.cpp:1395 fromJson] Creating module Submarine TD-202 [12.270 info src/engine/Engine.cpp:1395 fromJson] Creating module Submarine TD-202 [12.270 info src/engine/Engine.cpp:1395 fromJson] Creating module Submarine TD-202 [12.270 info src/engine/Engine.cpp:1395 fromJson] Creating module Submarine TD-202 [12.270 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [12.270 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [12.270 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [12.270 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [12.270 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [12.270 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [12.270 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [12.270 info src/engine/Engine.cpp:1395 fromJson] Creating module Valley Plateau [12.274 info src/engine/Engine.cpp:1395 fromJson] Creating module Alright Devices Chronoblob2 [12.274 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [12.274 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [12.274 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [12.274 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [12.274 info src/engine/Engine.cpp:1395 fromJson] Creating module Little Utils Teleport Out [12.274 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [12.274 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu Clocked [12.274 info src/engine/Engine.cpp:1395 fromJson] Creating module VCV Recorder [12.275 info src/engine/Engine.cpp:1395 fromJson] Creating module MindMeld AuxSpander [12.275 info src/engine/Engine.cpp:1395 fromJson] Creating module MindMeld MixMaster [12.275 info src/engine/Engine.cpp:1395 fromJson] Creating module Little Utils Teleport In [12.275 info src/engine/Engine.cpp:1395 fromJson] Creating module Surge for Rack SurgeRotary [12.279 info src/SurgeModuleCommon.hpp:96 showBuildInfo] [SurgeRack] Instance: Module=ROTARY BuildInfo=os:win pluggit:fb9c9a2 surgegit:5270cfb9 buildtime=Dec 4 2021 19:24:35 [12.295 info src/SurgeModuleCommon.cpp:105 setupSurgeCommon] [SurgeRack] storage::dataPath = 'C:/Users/k-cha/Documents/Rack2/plugins/SurgeRack/build/surge-data/' [12.295 info src/SurgeModuleCommon.cpp:106 setupSurgeCommon] [SurgeRack] storage::userDataPath = '' [12.297 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [12.297 info src/engine/Engine.cpp:1395 fromJson] Creating module MindMeld MixMasterJr [12.297 info src/engine/Engine.cpp:1395 fromJson] Creating module VCV Tom [12.297 info src/engine/Engine.cpp:1395 fromJson] Creating module VCV Closed Hat [12.297 info src/engine/Engine.cpp:1395 fromJson] Creating module VCV Snare [12.297 info src/engine/Engine.cpp:1395 fromJson] Creating module VCV Kick [12.297 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [12.297 info src/engine/Engine.cpp:1395 fromJson] Creating module Submarine TD-202 [12.297 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [12.297 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [12.297 info src/engine/Engine.cpp:1395 fromJson] Creating module RCM CV Momentary [12.297 info src/engine/Engine.cpp:1395 fromJson] Creating module PurrSoftware Meander [12.297 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinky Labs Organ Three [12.298 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinky Labs Organ Three [12.298 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinky Labs Organ Three [12.298 info src/engine/Engine.cpp:1395 fromJson] Creating module PurrSoftware Meander [12.298 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinky Labs Organ Three [12.298 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinky Labs Organ Three [12.298 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinky Labs Organ Three [12.298 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [12.298 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [12.298 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [12.298 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Boolean OR Gate [12.298 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Switch 1-8 [12.298 info src/engine/Engine.cpp:1395 fromJson] Creating module Alikins Reference Voltages [12.298 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinky Labs Organ Three [12.298 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinky Labs Organ Three [12.298 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinky Labs Organ Three [12.298 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [12.298 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [12.298 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [12.298 info src/engine/Engine.cpp:1395 fromJson] Creating module PurrSoftware Meander [12.298 info src/engine/Engine.cpp:1395 fromJson] Creating module ML Modules TrigSwitch 1->8 [12.298 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinky Labs Slade [12.299 info src/engine/Engine.cpp:1395 fromJson] Creating module NYSTHI Single VU Meter [12.321 info src/engine/Engine.cpp:1395 fromJson] Creating module NYSTHI Single VU Meter [12.322 info src/engine/Engine.cpp:1395 fromJson] Creating module NYSTHI Single VU Meter [12.335 info src/app/RackWidget.cpp:327 fromJson] Creating module widget VCV Audio 2 [12.340 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/Core/Audio2.svg [12.345 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/ScrewSilver.svg [12.350 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/RoundLargeBlackKnob.svg [12.356 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/RoundLargeBlackKnob_bg.svg [12.360 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/PJ301M.svg [12.360 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu Clocked [12.368 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/ImpromptuModular/res/panels/Clocked.svg [12.372 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/ScrewBlack.svg [12.376 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/Rogan1PSWhite.svg [12.380 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/Rogan1PS_bg.svg [12.385 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/ImpromptuModular/res/comp/complib/Rogan1PSWhite_fg.svg [12.389 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/VCVBezel.svg [12.394 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/TL1105_0.svg [12.399 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/TL1105_1.svg [12.403 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/SmallLight.svg [12.407 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/ImpromptuModular/res/comp/complib/Trimpot.svg [12.411 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/ImpromptuModular/res/comp/complib/Trimpot_bg.svg [12.411 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Submarine TD-202 [12.411 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Submarine TD-202 [12.412 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Submarine TD-202 [12.412 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Submarine TD-202 [12.412 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [12.415 info src/skins.cpp:181 loadSkins] Bogaudio: skin information loaded successfully from C:/Users/k-cha/Documents/Rack2/Bogaudio.json [12.423 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Bogaudio/res/LLFO-dark.svg [12.427 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Bogaudio/res/knob_26px-dark.svg [12.431 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Bogaudio/res/button_9px_0.svg [12.435 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Bogaudio/res/button_9px_1_green.svg [12.439 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Bogaudio/res/button_9px_1.svg [12.443 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Bogaudio/res/knob_16px-dark.svg [12.447 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Bogaudio/res/port-dark.svg [12.447 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [12.447 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [12.447 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [12.447 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [12.447 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [12.447 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [12.447 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Valley Plateau [12.457 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/PlateauPanelDark.svg [12.465 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/PlateauPanelLight.svg [12.469 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/PJ301MDarkSmall.svg [12.472 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/PJ301MDarkSmallOut.svg [12.476 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Med/Rogan1PSWhiteMedSmall.svg [12.480 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Med/Rogan1PSMedSmall-bg.svg [12.484 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Med/Rogan1PSWhiteMedSmall-fg.svg [12.488 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Small/Rogan1PSWhiteSmall.svg [12.492 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Small/Rogan1PSSmall-bg.svg [12.496 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Small/Rogan1PSWhiteSmall-fg.svg [12.500 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Med/Rogan1PSGreenMed.svg [12.504 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Med/Rogan1PSMed-bg.svg [12.508 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Med/Rogan1PSGreenMed-fg.svg [12.512 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Med/Rogan1PSBlueMed.svg [12.516 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Med/Rogan1PSBlueMed-fg.svg [12.520 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Med/Rogan1PSRedMed.svg [12.525 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Med/Rogan1PSRedMed-fg.svg [12.529 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Small/Rogan1PSGreenSmall.svg [12.533 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Small/Rogan1PSGreenSmall-fg.svg [12.538 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Small/Rogan1PSBlueSmall.svg [12.542 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Small/Rogan1PSBlueSmall-fg.svg [12.545 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Small/Rogan1PSRedSmall.svg [12.549 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Small/Rogan1PSRedSmall-fg.svg [12.553 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/LightLEDButton80.svg [12.557 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/MediumLight.svg [12.557 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Alright Devices Chronoblob2 [12.576 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/AlrightDevices/res/chronoblob2.svg [12.580 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/Rogan1PSWhite_fg.svg [12.583 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/Rogan3PSWhite.svg [12.587 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/Rogan3PS_bg.svg [12.591 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/Rogan3PSWhite_fg.svg [12.594 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/Trimpot.svg [12.598 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/Trimpot_bg.svg [12.601 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/AlrightDevices/res/PB6149L-off.svg [12.605 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/AlrightDevices/res/SSSF012100-left.svg [12.608 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/AlrightDevices/res/SSSF012100-right.svg [12.609 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [12.609 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [12.609 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [12.609 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [12.609 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Little Utils Teleport Out [12.615 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/LittleUtils/res/TeleportOut.svg [12.619 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/TinyLight.svg [12.619 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [12.624 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/ImpromptuModular/res/panels/FourView.svg [12.628 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/CKSS_0.svg [12.632 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/CKSS_1.svg [12.632 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu Clocked [12.633 info src/app/RackWidget.cpp:327 fromJson] Creating module widget VCV Recorder [12.637 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/VCV-Recorder/res/Recorder.svg [12.641 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/RoundBigBlackKnob.svg [12.645 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/RoundBigBlackKnob_bg.svg [12.648 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/VCV-Recorder/res/RecButton.svg [12.649 info src/app/RackWidget.cpp:327 fromJson] Creating module widget MindMeld AuxSpander [12.674 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/dark/auxspander.svg [12.678 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/jack.svg [12.682 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/knob-grey-7.5.svg [12.686 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/knob-bg-7.5.svg [12.691 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/fader-aux-bg.svg [12.694 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/fader-channel.svg [12.698 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/mute-off.svg [12.701 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/mute-on.svg [12.705 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/solo-off.svg [12.709 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/solo-on.svg [12.713 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/group-minus.svg [12.717 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/group-minus-active.svg [12.722 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/group-plus.svg [12.726 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/group-plus-active.svg [12.730 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/knob-red-7.5.svg [12.734 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/knob-orange-7.5.svg [12.739 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/knob-blue-7.5.svg [12.743 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/knob-purple-7.5.svg [12.747 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/jack-poly.svg [12.748 info src/app/RackWidget.cpp:327 fromJson] Creating module widget MindMeld MixMaster [12.765 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/dark/mixmaster.svg [12.770 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/fader-channel-bg.svg [12.777 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/fader-master-bg.svg [12.780 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/fader-master.svg [12.784 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/dim-off.svg [12.787 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/dim-on.svg [12.791 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/mono-off.svg [12.794 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/mono-on.svg [12.794 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Little Utils Teleport In [12.798 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/LittleUtils/res/TeleportIn.svg [12.798 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Surge for Rack SurgeRotary [12.798 info src/SurgeStyle.cpp:189 loadStyle] Loading default style [12.801 info src/SurgeStyle.cpp:201 loadStyle] styleXML is now C:/Users/k-cha/Documents/Rack2/plugins/SurgeRack/res/skins/Classic.xml [12.801 info src/SurgeStyle.cpp:213 loadStyle] Loading styles [12.801 info src/SurgeStyle.cpp:225 loadStyle] Loading skin 'C:/Users/k-cha/Documents/Rack2/plugins/SurgeRack/res/skins/Classic.xml' [12.804 info src/SurgeStyle.cpp:266 loadStyle] Found Assets [12.808 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/SurgeRack/res/vectors/surgeKnobRotateBG.svg [12.811 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/SurgeRack/res/vectors/surgeKnobOverlay.svg [12.814 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/SurgeRack/res/vectors/surgeKnobRotateFG.svg [12.817 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/SurgeRack/res/vectors/SurgeSwitch_0.svg [12.821 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/SurgeRack/res/vectors/SurgeSwitch_1.svg [12.821 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [12.821 info src/app/RackWidget.cpp:327 fromJson] Creating module widget MindMeld MixMasterJr [12.832 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/dark/mixmaster-jr.svg [12.833 info src/app/RackWidget.cpp:327 fromJson] Creating module widget VCV Tom [12.839 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/VCV-Drums/res/Tom.svg [12.843 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/VCV-Drums/res/DrumButton.svg [12.847 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/VCV-Drums/res/DrumButton_1.svg [12.848 info src/app/RackWidget.cpp:327 fromJson] Creating module widget VCV Closed Hat [12.854 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/VCV-Drums/res/ClosedHat.svg [12.854 info src/app/RackWidget.cpp:327 fromJson] Creating module widget VCV Snare [12.861 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/VCV-Drums/res/Snare.svg [12.862 info src/app/RackWidget.cpp:327 fromJson] Creating module widget VCV Kick [12.867 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/VCV-Drums/res/Kick.svg [12.867 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [12.867 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Submarine TD-202 [12.868 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [12.868 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [12.868 info src/app/RackWidget.cpp:327 fromJson] Creating module widget RCM CV Momentary [12.877 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/rcm/res/CVMmt.svg [12.881 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/rcm/res/PB61303White.svg [12.881 info src/app/RackWidget.cpp:327 fromJson] Creating module widget PurrSoftware Meander [12.884 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/PS-PurrSoftware/res/Meander-light.svg [12.888 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/PS-PurrSoftware/res/Meander-dark.svg [12.892 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/VCVButton_0.svg [12.899 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/VCVButton_1.svg [12.903 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/PS-PurrSoftware/res/TinyPJ301M.svg [12.907 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Squinky Labs Organ Three [12.912 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/squinkylabs-plug1/res/sines-panel.svg [12.919 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/squinkylabs-plug1/res/RoganSLBlue30.svg [12.923 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/squinkylabs-plug1/res/RoganSLBlue30-bg.svg [12.927 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/squinkylabs-plug1/res/RoganSLBlue30-fg.svg [12.931 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/squinkylabs-plug1/res/scaletx.svg [12.935 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/squinkylabs-plug1/res/blue-handle-16.svg [12.939 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/squinkylabs-plug1/res/blue-handle-513.svg [12.942 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/squinkylabs-plug1/res/white-handle-8.svg [12.945 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/squinkylabs-plug1/res/white-handle-4.svg [12.948 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/squinkylabs-plug1/res/black-handle-223.svg [12.952 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/squinkylabs-plug1/res/white-handle-2.svg [12.957 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/squinkylabs-plug1/res/black-handle-135.svg [12.961 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/squinkylabs-plug1/res/black-handle-113.svg [12.964 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/squinkylabs-plug1/res/white-handle-1.svg [12.964 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Squinky Labs Organ Three [12.965 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Squinky Labs Organ Three [12.965 info src/app/RackWidget.cpp:327 fromJson] Creating module widget PurrSoftware Meander [12.969 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Squinky Labs Organ Three [12.969 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Squinky Labs Organ Three [12.969 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Squinky Labs Organ Three [12.969 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [12.970 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [12.970 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [12.970 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Count Modula Boolean OR Gate [12.974 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/BooleanOR.svg [12.978 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Components/ScrewHex.svg [12.982 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Components/Jack.svg [12.982 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Count Modula Switch 1-8 [12.990 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Switch1To8.svg [12.996 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Components/Knob-bg.svg [13.002 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Components/Knob-fg.svg [13.006 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Components/KnobRed.svg [13.011 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Components/KnobBlue.svg [13.016 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Components/KnobWhite.svg [13.020 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Components/SW_Toggle_0.svg [13.023 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Components/SW_Toggle_1.svg [13.027 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Components/SW_Toggle_2.svg [13.027 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Alikins Reference Voltages [13.031 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Alikins/res/Reference.svg [13.031 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Squinky Labs Organ Three [13.032 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Squinky Labs Organ Three [13.032 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Squinky Labs Organ Three [13.032 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [13.032 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [13.032 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [13.032 info src/app/RackWidget.cpp:327 fromJson] Creating module widget PurrSoftware Meander [13.036 info src/app/RackWidget.cpp:327 fromJson] Creating module widget ML Modules TrigSwitch 1->8 [13.041 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/ML_modules/res/TrigSwitch2.svg [13.045 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/ML_modules/res/MLScrew.svg [13.049 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/ML_modules/res/Jack.svg [13.053 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/ML_modules/res/LEDButton_medium.svg [13.056 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/ML_modules/res/Jack_out.svg [13.057 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Squinky Labs Slade [13.060 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/squinkylabs-plug1/res/slew_panel.svg [13.060 info src/app/RackWidget.cpp:327 fromJson] Creating module widget NYSTHI Single VU Meter [13.065 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/NYSTHI/res/VUMeterSingle.svg [13.068 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/NYSTHI/res/ctrls/jojo/jojoWhiteKnob22fg.svg [13.072 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/NYSTHI/res/ctrls/jojo/jojoWhiteKnob22bg.svg [13.076 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/NYSTHI/res/ctrls/pyer/colored_pj301m_styled/PJ301M_22_IN.svg [13.079 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/NYSTHI/res/ctrls/pyer/colored_pj301m_styled/PJ301M_22_OU.svg [13.080 info src/app/RackWidget.cpp:327 fromJson] Creating module widget NYSTHI Single VU Meter [13.080 info src/app/RackWidget.cpp:327 fromJson] Creating module widget NYSTHI Single VU Meter [13.083 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/Plug.svg [13.087 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/PlugPort.svg [13.093 info adapters/standalone.cpp:240 main] Running window [13.148 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/fade-off.svg [13.151 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/fade-on.svg [13.164 info src/window/Window.cpp:50 loadFile] Loaded font C:/Users/k-cha/Documents/Rack2/plugins/LittleUtils/res/fonts/RobotoMono-Bold.ttf [13.168 info src/window/Window.cpp:50 loadFile] Loaded font C:/Users/k-cha/Documents/Rack2/plugins/PS-PurrSoftware/res/EurostileBold.ttf [13.172 info src/window/Window.cpp:50 loadFile] Loaded font C:/Users/k-cha/Documents/Rack2/plugins/PS-PurrSoftware/res/Ubuntu Condensed 400.ttf [13.176 info src/window/Window.cpp:50 loadFile] Loaded font C:/Users/k-cha/Documents/Rack2/plugins/PS-PurrSoftware/res/Musisync-KVLZ.ttf [13.182 info src/window/Window.cpp:50 loadFile] Loaded font C:/Users/k-cha/Documents/Rack2/plugins/PS-PurrSoftware/res/Segment7Standard.ttf [13.192 info src/window/Window.cpp:50 loadFile] Loaded font C:/Program Files/VCV/Rack2Pro/res/fonts/Nunito-Bold.ttf [13.197 info src/window/Window.cpp:50 loadFile] Loaded font C:/Program Files/VCV/Rack2Pro/res/fonts/ShareTechMono-Regular.ttf [13.202 info src/window/Window.cpp:50 loadFile] Loaded font C:/Users/k-cha/Documents/Rack2/plugins/ImpromptuModular/res/fonts/Segment14.ttf [14.639 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/SurgeRack/res/newSurgeLogo.svg [15.007 info src/patch.cpp:236 saveAutosave] Saving autosave C:/Users/k-cha/Documents/Rack2/autosave/patch.json [15.020 info src/settings.cpp:437 save] Saving settings C:/Users/k-cha/Documents/Rack2/settings.json [15.573 info src/network.cpp:147 requestJson] Requesting JSON GET https://api.vcvrack.com/version?edition=Pro [15.874 info src/network.cpp:147 requestJson] Requesting JSON GET https://api.vcvrack.com/user [16.174 info src/network.cpp:147 requestJson] Requesting JSON GET https://api.vcvrack.com/library/manifests?version=2 [16.686 info src/network.cpp:147 requestJson] Requesting JSON GET https://api.vcvrack.com/modules [59.093 info src/patch.cpp:293 load] Loading patch C:\Users\k-cha\Documents\Rack2\patches\Organic Harmony E-minor wo start delay.vcv [59.241 info src/rtaudio.cpp:147 closeStream] Stopping RtAudio WASAPI device 0 [59.252 info src/rtaudio.cpp:151 closeStream] Closing RtAudio WASAPI device 0 [60.034 info src/patch.cpp:309 load] Unarchived patch in 0.006038 seconds [60.034 info src/patch.cpp:379 loadAutosave] Loading autosave C:/Users/k-cha/Documents/Rack2/autosave/patch.json [60.045 info src/engine/Engine.cpp:1395 fromJson] Creating module VCV Audio 2 [60.045 info src/rtaudio.cpp:52 RtAudioDevice] Creating RtAudio WASAPI device [60.066 info src/rtaudio.cpp:119 openStream] Opening RtAudio WASAPI device 0: Focusrite USB (Focusrite USB Audio) (0 in, 2 out, 48000 sample rate, 256 block size) [60.108 info src/rtaudio.cpp:129 openStream] Starting RtAudio WASAPI device 0 [60.108 info src/engine/Engine.cpp:1395 fromJson] Creating module PurrSoftware Meander [60.109 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu Clocked [60.109 info src/engine/Engine.cpp:1395 fromJson] Creating module Submarine TD-202 [60.109 info src/engine/Engine.cpp:1395 fromJson] Creating module Submarine TD-202 [60.109 info src/engine/Engine.cpp:1395 fromJson] Creating module Submarine TD-202 [60.109 info src/engine/Engine.cpp:1395 fromJson] Creating module Submarine TD-202 [60.109 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [60.109 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [60.109 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [60.109 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [60.109 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [60.109 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [60.109 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [60.109 info src/engine/Engine.cpp:1395 fromJson] Creating module Valley Plateau [60.114 info src/engine/Engine.cpp:1395 fromJson] Creating module Alright Devices Chronoblob2 [60.115 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [60.115 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [60.115 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [60.115 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [60.115 info src/engine/Engine.cpp:1395 fromJson] Creating module Little Utils Teleport Out [60.115 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinktronix Harmony 1 [60.117 info src/engine/Engine.cpp:1395 fromJson] Creating module VCV Split [60.119 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [60.120 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [60.120 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio DGATE [60.121 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu Clocked [60.121 info src/engine/Engine.cpp:1395 fromJson] Creating module VCV Recorder [60.122 info src/engine/Engine.cpp:1395 fromJson] Creating module MindMeld AuxSpander [60.122 info src/engine/Engine.cpp:1395 fromJson] Creating module MindMeld MixMaster [60.123 info src/engine/Engine.cpp:1395 fromJson] Creating module Little Utils Teleport In [60.123 info src/engine/Engine.cpp:1395 fromJson] Creating module NYSTHI FixedVoltageSource [60.123 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Switch 16-1 [60.123 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinktronix Arpeggiator 1 [60.123 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Switch 8-1 [60.123 info src/engine/Engine.cpp:1395 fromJson] Creating module NYSTHI FixedVoltageSource [60.123 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu Clocked [60.123 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu Clocked [60.123 info src/engine/Engine.cpp:1395 fromJson] Creating module Submarine TD-202 [60.123 info src/engine/Engine.cpp:1395 fromJson] Creating module Submarine TD-202 [60.123 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula 16 Step Sequencer [60.123 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinktronix Arpeggiator 1 [60.123 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio DGATE [60.123 info src/engine/Engine.cpp:1395 fromJson] Creating module Submarine TD-202 [60.123 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [60.123 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Switch 8-1 [60.123 info src/engine/Engine.cpp:1395 fromJson] Creating module VCV Octave [60.123 info src/engine/Engine.cpp:1395 fromJson] Creating module NYSTHI FixedVoltageSource [60.123 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Switch 8-1 [60.123 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Switch 8-1 [60.123 info src/engine/Engine.cpp:1395 fromJson] Creating module NYSTHI FixedVoltageSource [60.123 info src/engine/Engine.cpp:1395 fromJson] Creating module Submarine TD-202 [60.123 info src/engine/Engine.cpp:1395 fromJson] Creating module Submarine TD-202 [60.123 info src/engine/Engine.cpp:1395 fromJson] Creating module NYSTHI FixedVoltageSource [60.124 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Switch 8-1 [60.124 info src/engine/Engine.cpp:1395 fromJson] Creating module ML Modules Volt Meter [60.124 info src/engine/Engine.cpp:1395 fromJson] Creating module ML Modules Volt Meter [60.124 info src/engine/Engine.cpp:1395 fromJson] Creating module ML Modules Volt Meter [60.124 info src/engine/Engine.cpp:1395 fromJson] Creating module Submarine TD-202 [60.124 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinky Labs Organ Three [60.124 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinky Labs Organ Three [60.124 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinky Labs Organ Three [60.124 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [60.124 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [60.124 info src/engine/Engine.cpp:1395 fromJson] Creating module Surge for Rack SurgeRotary [60.124 info src/SurgeModuleCommon.hpp:96 showBuildInfo] [SurgeRack] Instance: Module=ROTARY BuildInfo=os:win pluggit:fb9c9a2 surgegit:5270cfb9 buildtime=Dec 4 2021 19:24:35 [60.130 info src/SurgeModuleCommon.cpp:105 setupSurgeCommon] [SurgeRack] storage::dataPath = 'C:/Users/k-cha/Documents/Rack2/plugins/SurgeRack/build/surge-data/' [60.130 info src/SurgeModuleCommon.cpp:106 setupSurgeCommon] [SurgeRack] storage::userDataPath = '' [60.132 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [60.132 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinky Labs Organ Three [60.132 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [60.132 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinky Labs Organ Three [60.132 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinky Labs Organ Three [60.132 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [60.132 info src/engine/Engine.cpp:1395 fromJson] Creating module MindMeld MixMasterJr [60.132 info src/engine/Engine.cpp:1395 fromJson] Creating module VCV Tom [60.132 info src/engine/Engine.cpp:1395 fromJson] Creating module VCV Closed Hat [60.132 info src/engine/Engine.cpp:1395 fromJson] Creating module VCV Snare [60.132 info src/engine/Engine.cpp:1395 fromJson] Creating module VCV Kick [60.132 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinky Labs Organ Three [60.132 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [60.133 info src/engine/Engine.cpp:1395 fromJson] Creating module Submarine TD-202 [60.133 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [60.133 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [60.133 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [60.133 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [60.133 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [60.133 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [60.133 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [60.133 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [60.133 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [60.133 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [60.133 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [60.133 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [60.133 info src/engine/Engine.cpp:1395 fromJson] Creating module VCV Split [60.133 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [60.133 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [60.133 info src/engine/Engine.cpp:1395 fromJson] Creating module Submarine TD-202 [60.133 info src/engine/Engine.cpp:1395 fromJson] Creating module RCM CV Momentary [60.133 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Startup Delay [60.133 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Boolean OR Gate [60.164 info src/app/RackWidget.cpp:327 fromJson] Creating module widget VCV Audio 2 [60.164 info src/app/RackWidget.cpp:327 fromJson] Creating module widget PurrSoftware Meander [60.168 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu Clocked [60.169 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Submarine TD-202 [60.169 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Submarine TD-202 [60.169 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Submarine TD-202 [60.169 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Submarine TD-202 [60.169 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [60.169 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [60.169 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [60.169 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [60.169 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [60.169 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [60.169 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [60.169 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Valley Plateau [60.169 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Alright Devices Chronoblob2 [60.170 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [60.170 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [60.170 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [60.170 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [60.170 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Little Utils Teleport Out [60.170 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Squinktronix Harmony 1 [60.174 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/squinkytronix-plug1/res/blank-panel-4.svg [60.178 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/squinkytronix-plug1/res/pattern-four.svg [60.183 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/CKSSThree_0.svg [60.188 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/CKSSThree_1.svg [60.195 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/CKSSThree_2.svg [60.199 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/RoundBlackKnob.svg [60.203 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/RoundBlackKnob_bg.svg [60.203 info src/app/RackWidget.cpp:327 fromJson] Creating module widget VCV Split [60.208 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Fundamental/res/Split.svg [60.209 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [60.209 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [60.209 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio DGATE [60.213 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Bogaudio/res/DGate-dark.svg [60.217 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Bogaudio/res/knob_29px-dark.svg [60.221 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Bogaudio/res/slider_switch_2_14px_0.svg [60.225 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Bogaudio/res/slider_switch_2_14px_1.svg [60.228 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Bogaudio/res/button_18px_0.svg [60.232 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Bogaudio/res/button_18px_1.svg [60.233 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu Clocked [60.233 info src/app/RackWidget.cpp:327 fromJson] Creating module widget VCV Recorder [60.233 info src/app/RackWidget.cpp:327 fromJson] Creating module widget MindMeld AuxSpander [60.234 info src/app/RackWidget.cpp:327 fromJson] Creating module widget MindMeld MixMaster [60.238 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Little Utils Teleport In [60.238 info src/app/RackWidget.cpp:327 fromJson] Creating module widget NYSTHI FixedVoltageSource [60.243 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/NYSTHI/res/FixedVoltageSource.svg [60.246 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/NYSTHI/res/ctrls/pyer/colored_pj301m_styled/PJ301M_18_INGATE.svg [60.249 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/NYSTHI/res/ctrls/pyer/colored_pj301m_styled/PJ301M_18_OUCV.svg [60.249 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Count Modula Switch 16-1 [60.258 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Switch16To1.svg [60.258 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Squinktronix Arpeggiator 1 [60.258 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Count Modula Switch 8-1 [60.265 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Switch8To1.svg [60.265 info src/app/RackWidget.cpp:327 fromJson] Creating module widget NYSTHI FixedVoltageSource [60.266 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu Clocked [60.266 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu Clocked [60.266 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Submarine TD-202 [60.266 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Submarine TD-202 [60.266 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Count Modula 16 Step Sequencer [60.276 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Sequencer16.svg [60.280 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Components/SW_ToggleS_0.svg [60.284 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Components/SW_ToggleS_1.svg [60.289 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Components/SW_ToggleS_2.svg [60.293 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Components/KnobGreen.svg [60.297 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Components/KnobGrey.svg [60.297 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Squinktronix Arpeggiator 1 [60.297 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio DGATE [60.297 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Submarine TD-202 [60.297 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [60.298 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Count Modula Switch 8-1 [60.298 info src/app/RackWidget.cpp:327 fromJson] Creating module widget VCV Octave [60.301 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Fundamental/res/Octave.svg [60.302 info src/app/RackWidget.cpp:327 fromJson] Creating module widget NYSTHI FixedVoltageSource [60.302 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Count Modula Switch 8-1 [60.302 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Count Modula Switch 8-1 [60.302 info src/app/RackWidget.cpp:327 fromJson] Creating module widget NYSTHI FixedVoltageSource [60.302 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Submarine TD-202 [60.302 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Submarine TD-202 [60.303 info src/app/RackWidget.cpp:327 fromJson] Creating module widget NYSTHI FixedVoltageSource [60.303 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Count Modula Switch 8-1 [60.303 info src/app/RackWidget.cpp:327 fromJson] Creating module widget ML Modules Volt Meter [60.308 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/ML_modules/res/VoltMeter.svg [60.308 info src/app/RackWidget.cpp:327 fromJson] Creating module widget ML Modules Volt Meter [60.308 info src/app/RackWidget.cpp:327 fromJson] Creating module widget ML Modules Volt Meter [60.308 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Submarine TD-202 [60.308 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Squinky Labs Organ Three [60.308 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Squinky Labs Organ Three [60.308 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Squinky Labs Organ Three [60.309 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [60.309 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [60.309 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Surge for Rack SurgeRotary [60.309 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [60.309 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Squinky Labs Organ Three [60.310 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [60.310 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Squinky Labs Organ Three [60.310 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Squinky Labs Organ Three [60.310 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [60.310 info src/app/RackWidget.cpp:327 fromJson] Creating module widget MindMeld MixMasterJr [60.312 info src/app/RackWidget.cpp:327 fromJson] Creating module widget VCV Tom [60.312 info src/app/RackWidget.cpp:327 fromJson] Creating module widget VCV Closed Hat [60.312 info src/app/RackWidget.cpp:327 fromJson] Creating module widget VCV Snare [60.312 info src/app/RackWidget.cpp:327 fromJson] Creating module widget VCV Kick [60.312 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Squinky Labs Organ Three [60.312 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [60.313 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Submarine TD-202 [60.313 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [60.313 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [60.313 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [60.313 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [60.313 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [60.313 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [60.313 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [60.314 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [60.314 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [60.314 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [60.314 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [60.314 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [60.314 info src/app/RackWidget.cpp:327 fromJson] Creating module widget VCV Split [60.315 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [60.315 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [60.315 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Submarine TD-202 [60.315 info src/app/RackWidget.cpp:327 fromJson] Creating module widget RCM CV Momentary [60.315 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Count Modula Startup Delay [60.320 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/StartupDelay.svg [60.324 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Components/KnobViolet.svg [60.324 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Count Modula Boolean OR Gate [60.333 info src/patch.cpp:236 saveAutosave] Saving autosave C:/Users/k-cha/Documents/Rack2/autosave/patch.json [60.348 info src/settings.cpp:437 save] Saving settings C:/Users/k-cha/Documents/Rack2/settings.json [60.369 info src/window/Window.cpp:50 loadFile] Loaded font C:/Program Files/VCV/Rack2Pro/res/fonts/DSEG7ClassicMini-BoldItalic.ttf [60.377 info src/window/Window.cpp:50 loadFile] Loaded font C:/Users/k-cha/Documents/Rack2/plugins/NYSTHI/res/fonts/LEDCalculator.ttf [60.381 info src/window/Window.cpp:50 loadFile] Loaded font C:/Users/k-cha/Documents/Rack2/plugins/ML_modules/res/Segment7Standard.ttf [61.127 info src/window/Window.cpp:50 loadFile] Loaded font C:/Users/k-cha/Documents/Rack2/plugins/squinkytronix-plug1/res/Bravura.otf [75.359 info src/patch.cpp:236 saveAutosave] Saving autosave C:/Users/k-cha/Documents/Rack2/autosave/patch.json [75.372 info src/settings.cpp:437 save] Saving settings C:/Users/k-cha/Documents/Rack2/settings.json [90.374 info src/patch.cpp:236 saveAutosave] Saving autosave C:/Users/k-cha/Documents/Rack2/autosave/patch.json [90.390 info src/settings.cpp:437 save] Saving settings C:/Users/k-cha/Documents/Rack2/settings.json [134.292 info src/patch.cpp:117 save] Saving patch C:\Users\k-cha\Documents\Rack2\patches\Organic Harmony E-minor with start delay.vcv [134.292 info src/patch.cpp:236 saveAutosave] Saving autosave C:/Users/k-cha/Documents/Rack2/autosave/patch.json [134.321 info src/patch.cpp:132 save] Archived patch in 0.005431 seconds [134.323 info src/patch.cpp:236 saveAutosave] Saving autosave C:/Users/k-cha/Documents/Rack2/autosave/patch.json [134.338 info src/settings.cpp:437 save] Saving settings C:/Users/k-cha/Documents/Rack2/settings.json [149.324 info src/patch.cpp:236 saveAutosave] Saving autosave C:/Users/k-cha/Documents/Rack2/autosave/patch.json [149.341 info src/settings.cpp:437 save] Saving settings C:/Users/k-cha/Documents/Rack2/settings.json [164.329 info src/patch.cpp:236 saveAutosave] Saving autosave C:/Users/k-cha/Documents/Rack2/autosave/patch.json [164.342 info src/settings.cpp:437 save] Saving settings C:/Users/k-cha/Documents/Rack2/settings.json [172.980 info src/patch.cpp:117 save] Saving patch C:\Users\k-cha\Documents\Rack2\patches\Organic Harmony E-minor with start delay.vcv [172.980 info src/patch.cpp:236 saveAutosave] Saving autosave C:/Users/k-cha/Documents/Rack2/autosave/patch.json [173.003 info src/patch.cpp:132 save] Archived patch in 0.006267 seconds [179.330 info src/patch.cpp:236 saveAutosave] Saving autosave C:/Users/k-cha/Documents/Rack2/autosave/patch.json [179.345 info src/settings.cpp:437 save] Saving settings C:/Users/k-cha/Documents/Rack2/settings.json [182.485 fatal adapters/standalone.cpp:49 fatalSignalHandler] Fatal signal 11. Stack trace: 22: 0x0 21: 0x0 20: _C_specific_handler 0x7ffe0021acc0 19: _chkstk 0x7ffe006685c0 18: RtlRestoreContext 0x7ffe005f5240 17: KiUserExceptionDispatcher 0x7ffe006676d0 16: ZN4rack6widget6Widget4stepEv 0x7ffd3c9775a0 15: ZN4rack6widget6Widget4stepEv 0x7ffd3c9775a0 14: ZN4rack6widget6Widget4stepEv 0x7ffd3c9775a0 13: ZN4rack6widget6Widget4stepEv 0x7ffd3c9775a0 12: ZN4rack6widget6Widget4stepEv 0x7ffd3c9775a0 11: ZN4rack6widget6Widget4stepEv 0x7ffd3c9775a0 10: ZN4rack2ui12ScrollWidget4stepEv 0x7ffd3c96fbb0 9: ZN4rack3app16RackScrollWidget4stepEv 0x7ffd3c949fa0 8: ZN4rack6widget6Widget4stepEv 0x7ffd3c9775a0 7: ZN4rack6window6Window4stepEv 0x7ffd3c979e50 6: ZN4rack6window6Window3runEv 0x7ffd3c97a810 5: ZN4rack6window6Window3runEv 0x7ffd3c97a810 4: ZN4rack6window6Window3runEv 0x7ffd3c97a810 3: ZN4rack6window6Window3runEv 0x7ffd3c97a810 2: ZN4rack6window6Window3runEv 0x7ffd3c97a810 1: BaseThreadInitThunk 0x7ffdfeef54d0 0: RtlUserThreadStart 0x7ffe005c4830 [182.496 fatal adapters/standalone.cpp:49 fatalSignalHandler] Fatal signal 22. Stack trace: 42: 0x0 41: raise 0x7ffe0021d9c0 40: abort 0x7ffe002220b0 39: ZN9__gnu_cxx27__verbose_terminate_handlerEv 0x7ffd4359f4c0 38: ZN10__cxxabiv111__terminateEPFvvE 0x7ffd43595cd0 37: ZSt9terminatev 0x7ffd436878d0 36: stbi_write_png 0x7ffd3c901c60 35: gai_strerrorW 0x7ffd3cda06a0 34: gai_strerrorW 0x7ffd3cda06a0 33: gai_strerrorW 0x7ffd3cda06a0 32: RtlActivateActivationContextUnsafeFast 0x7ffe005ffbf0 31: LdrShutdownProcess 0x7ffe00614120 30: RtlExitUserProcess 0x7ffe00614050 29: ExitProcess 0x7ffdfeefc660 28: IEE 0x7ffdece40e00 27: CorExitProcess 0x7ffdedee7ac0 26: exit 0x7ffe0022d0c0 25: exit 0x7ffe0022d0c0 24: initterm_e 0x7ffe0022d570 23: raise 0x7ffe0021d9c0 22: raise 0x7ffe0021d9c0 21: raise 0x7ffe0021d9c0 20: _C_specific_handler 0x7ffe0021acc0 19: _chkstk 0x7ffe006685c0 18: RtlRestoreContext 0x7ffe005f5240 17: KiUserExceptionDispatcher 0x7ffe006676d0 16: ZN4rack6widget6Widget4stepEv 0x7ffd3c9775a0 15: ZN4rack6widget6Widget4stepEv 0x7ffd3c9775a0 14: ZN4rack6widget6Widget4stepEv 0x7ffd3c9775a0 13: ZN4rack6widget6Widget4stepEv 0x7ffd3c9775a0 12: ZN4rack6widget6Widget4stepEv 0x7ffd3c9775a0 11: ZN4rack6widget6Widget4stepEv 0x7ffd3c9775a0 10: ZN4rack2ui12ScrollWidget4stepEv 0x7ffd3c96fbb0 9: ZN4rack3app16RackScrollWidget4stepEv 0x7ffd3c949fa0 8: ZN4rack6widget6Widget4stepEv 0x7ffd3c9775a0 7: ZN4rack6window6Window4stepEv 0x7ffd3c979e50 6: ZN4rack6window6Window3runEv 0x7ffd3c97a810 5: ZN4rack6window6Window3runEv 0x7ffd3c97a810 4: ZN4rack6window6Window3runEv 0x7ffd3c97a810 3: ZN4rack6window6Window3runEv 0x7ffd3c97a810 2: ZN4rack6window6Window3runEv 0x7ffd3c97a810 1: BaseThreadInitThunk 0x7ffdfeef54d0 0: RtlUserThreadStart 0x7ffe005c4830