[0.003 info adapters/standalone.cpp:129 main] VCV Rack Pro v2.1.2 [0.003 info adapters/standalone.cpp:130 main] Standalone [0.003 info adapters/standalone.cpp:131 main] Windows 10.0 [0.003 info adapters/standalone.cpp:137 main] Args: C:\Program Files\VCV\Rack2Pro\Rack.exe [0.003 info adapters/standalone.cpp:140 main] System directory: C:\Program Files\VCV\Rack2Pro [0.003 info adapters/standalone.cpp:141 main] User directory: I:/OneDrive/Documents/Rack2 [0.003 info adapters/standalone.cpp:145 main] System time: 2022-10-01 05:09:24 Pacific Daylight Time [0.003 info src/settings.cpp:488 load] Loading settings I:/OneDrive/Documents/Rack2/settings.json [0.007 info adapters/standalone.cpp:168 main] Initializing network [0.011 info adapters/standalone.cpp:171 main] Initializing audio [0.011 info src/rtaudio.cpp:234 RtAudioDriver] Creating RtAudio WASAPI driver [0.012 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/Rack2Pro.vcvkey?version=2&machineId=94906D6652 [0.041 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio WASAPI device 0: Speakers (Focusrite Usb Audio) (0 in, 2 out) [0.055 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio WASAPI device 1: 5 - PHL 328E1 (2- AMD High Definition Audio Device) (0 in, 2 out) [0.068 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio WASAPI device 2: Realtek Digital Output (Realtek(R) Audio) (0 in, 2 out) [0.082 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio WASAPI device 3: Analogue 1 + 2 (Focusrite Usb Audio) (2 in, 0 out) [0.095 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio WASAPI device 4: Microphone (HD Webcam C525) (1 in, 0 out) [0.095 info src/rtaudio.cpp:234 RtAudioDriver] Creating RtAudio ASIO driver [0.157 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio ASIO device 0: ASIO4ALL v2 (2 in, 2 out) [0.161 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio ASIO device 1: Focusrite USB ASIO (2 in, 2 out) [0.184 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio ASIO device 2: JackRouter (0 in, 0 out) [0.231 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio ASIO device 3: Magix Low Latency 2016 (2 in, 2 out) [0.840 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio ASIO device 4: Realtek ASIO (2 in, 2 out) [0.840 info src/rtaudio.cpp:234 RtAudioDriver] Creating RtAudio DirectSound driver [1.004 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio DirectSound device 0: Primary Sound Driver (0 in, 2 out) [1.011 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio DirectSound device 1: Speakers (Focusrite Usb Audio) (0 in, 2 out) [1.018 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio DirectSound device 2: 5 - PHL 328E1 (2- AMD High Definition Audio Device) (0 in, 2 out) [1.025 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio DirectSound device 3: Realtek Digital Output (Realtek(R) Audio) (0 in, 2 out) [1.025 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio DirectSound device 4: Primary Sound Capture Driver (2 in, 0 out) [1.026 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio DirectSound device 5: Microphone (HD Webcam C525) (2 in, 0 out) [1.026 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio DirectSound device 6: Analogue 1 + 2 (Focusrite Usb Audio) (2 in, 0 out) [1.026 info adapters/standalone.cpp:174 main] Initializing MIDI [1.026 info adapters/standalone.cpp:179 main] Initializing plugins [1.026 info src/plugin.cpp:160 loadPlugin] Loading Core plugin [1.027 info src/plugin.cpp:233 loadPlugin] Loaded Core v2.1.2 [1.028 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/21kHz [1.031 info src/plugin.cpp:233 loadPlugin] Loaded 21kHz v2.0.1 [1.031 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/23volts [1.034 info src/plugin.cpp:233 loadPlugin] Loaded 23volts v2.0.0-beta [1.034 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/AaronStatic [1.037 info src/plugin.cpp:233 loadPlugin] Loaded AaronStatic v2.0.0 [1.037 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/AetrionModular [1.039 info src/plugin.cpp:233 loadPlugin] Loaded AetrionModular v2.1.0 [1.039 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Ahornberg [1.044 info src/plugin.cpp:233 loadPlugin] Loaded Ahornberg v2.1.6 [1.044 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/alefsbits [1.049 info src/plugin.cpp:233 loadPlugin] Loaded alefsbits v2.4.9 [1.049 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/AlgoritmArte [1.052 info src/plugin.cpp:233 loadPlugin] Loaded AlgoritmArte v2.0.0 [1.052 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Alikins [1.058 info src/plugin.cpp:233 loadPlugin] Loaded Alikins v2.0.1 [1.058 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/AlrightDevices [1.061 info src/plugin.cpp:233 loadPlugin] Loaded AlrightDevices v2.0.0 [1.061 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/alto777_LFSR [1.065 info src/plugin.cpp:233 loadPlugin] Loaded alto777_LFSR v2.0.0 [1.065 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/AmalgamatedHarmonics [1.070 info src/plugin.cpp:233 loadPlugin] Loaded AmalgamatedHarmonics v2.0.0 [1.070 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/AriaSalvatrice [1.076 info src/plugin.cpp:233 loadPlugin] Loaded AriaSalvatrice v2.0.0 [1.076 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/aridacity [1.078 info src/plugin.cpp:233 loadPlugin] Loaded aridacity v2.0.0 [1.079 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/AS [1.084 info src/plugin.cpp:233 loadPlugin] Loaded AS v2.0.6 [1.084 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/AS-Drums-n-Filters [1.087 info src/plugin.cpp:233 loadPlugin] Loaded AS-Drums-n-Filters v2.0.1 [1.087 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/AS-Seqs-n-Tools [1.091 info src/plugin.cpp:233 loadPlugin] Loaded AS-Seqs-n-Tools v2.0.2 [1.091 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Atelier [1.094 info src/plugin.cpp:233 loadPlugin] Loaded Atelier v2.0.2 [1.095 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/AudibleInstruments [1.099 info src/plugin.cpp:233 loadPlugin] Loaded AudibleInstruments v2.1.0 [1.099 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Autinn [1.110 info src/plugin.cpp:233 loadPlugin] Loaded Autinn v2.1.0 [1.110 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Autodafe-REDs [1.119 info src/plugin.cpp:233 loadPlugin] Loaded Autodafe-REDs v2.0.0 [1.119 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Axioma [1.122 info src/plugin.cpp:233 loadPlugin] Loaded Axioma v2.0.0 [1.122 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/az [1.124 info src/plugin.cpp:233 loadPlugin] Loaded az v2.0.1 [1.124 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/BaconMusic [1.130 info src/plugin.cpp:233 loadPlugin] Loaded BaconMusic v2.0.0 [1.130 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Befaco [1.135 info src/plugin.cpp:233 loadPlugin] Loaded Befaco v2.2.0 [1.135 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Bidoo [1.146 info src/plugin.cpp:233 loadPlugin] Loaded Bidoo v2.0.22 [1.146 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Blamsoft-XFXDistortionPack [1.150 info src/plugin.cpp:233 loadPlugin] Loaded Blamsoft-XFXDistortionPack v2.0.0 [1.150 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Blamsoft-XFXF35 [1.153 info src/plugin.cpp:233 loadPlugin] Loaded Blamsoft-XFXF35 v2.0.0 [1.153 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Blamsoft-XFXReverb [1.155 info src/plugin.cpp:233 loadPlugin] Loaded Blamsoft-XFXReverb v2.0.0 [1.155 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Blamsoft-XFXWave [1.158 info src/plugin.cpp:233 loadPlugin] Loaded Blamsoft-XFXWave v2.0.0 [1.158 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Bogaudio [1.168 info src/plugin.cpp:233 loadPlugin] Loaded Bogaudio v2.1.41 [1.168 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/CatroModulo [1.173 info src/plugin.cpp:233 loadPlugin] Loaded CatroModulo v2.0.0 [1.173 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/cf [1.177 info src/plugin.cpp:233 loadPlugin] Loaded cf v2.0.2 [1.177 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/cfbis [1.195 info src/plugin.cpp:233 loadPlugin] Loaded cfbis v2.0.0 [1.195 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/ChortlingHamsterModules [1.198 info src/plugin.cpp:233 loadPlugin] Loaded ChortlingHamsterModules v2.0.0 [1.198 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/ChowDSP [1.204 info src/plugin.cpp:233 loadPlugin] Loaded ChowDSP v2.0.1 [1.204 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Coffee [1.209 info src/plugin.cpp:233 loadPlugin] Loaded Coffee v2.4.0 [1.209 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Comfortzone [1.211 info src/plugin.cpp:233 loadPlugin] Loaded Comfortzone v2.0.0 [1.211 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/computerscare [1.220 info src/plugin.cpp:233 loadPlugin] Loaded computerscare v2.0.1 [1.220 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/CosineKitty-Sapphire [1.222 info src/plugin.cpp:233 loadPlugin] Loaded CosineKitty-Sapphire v2.0.1 [1.222 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/CountModula [1.230 info src/plugin.cpp:233 loadPlugin] Loaded CountModula v2.2.0 [1.230 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/cvly [1.247 info src/plugin.cpp:233 loadPlugin] Loaded cvly v2.0.1 [1.247 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/DanTModules [1.253 info src/plugin.cpp:233 loadPlugin] Loaded DanTModules v2.3.4 [1.253 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/dBiz [1.261 info src/plugin.cpp:233 loadPlugin] Loaded dBiz v2.1 [1.261 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/dbRackModules [1.269 info src/plugin.cpp:233 loadPlugin] Loaded dbRackModules v2.1.0 [1.269 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/dbRackSequencer [1.274 info src/plugin.cpp:233 loadPlugin] Loaded dbRackSequencer v2.0.2 [1.274 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/DHE-Modules [1.279 info src/plugin.cpp:233 loadPlugin] Loaded DHE-Modules v2.0.3 [1.279 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/EH_modules [1.283 info src/plugin.cpp:233 loadPlugin] Loaded EH_modules v2.0.5 [1.283 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Entrian-Free [1.295 info src/plugin.cpp:233 loadPlugin] Loaded Entrian-Free v2.1.25 [1.295 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/EricaCopies [1.302 info src/plugin.cpp:233 loadPlugin] Loaded EricaCopies v2.0.2 [1.302 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/ESeries [1.304 info src/plugin.cpp:233 loadPlugin] Loaded ESeries v2.0.2 [1.304 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Extratone [1.307 info src/plugin.cpp:233 loadPlugin] Loaded Extratone v2.0.0 [1.307 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/FehlerFabrik-Suite [1.311 info src/plugin.cpp:233 loadPlugin] Loaded FehlerFabrik-Suite v2.0.2 [1.311 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/FLAG-Free [1.315 info src/plugin.cpp:233 loadPlugin] Loaded FLAG-Free v2.2.1 [1.315 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/forsitan [1.317 info src/plugin.cpp:233 loadPlugin] Loaded forsitan v2.0.1 [1.317 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/FreeSurface [1.323 info src/plugin.cpp:233 loadPlugin] Loaded FreeSurface v2.0.5 [1.323 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/FrequencyDomain [1.327 info src/plugin.cpp:233 loadPlugin] Loaded FrequencyDomain v2.0.0 [1.327 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/FrozenWasteland [1.335 info src/plugin.cpp:233 loadPlugin] Loaded FrozenWasteland v2.0.7 [1.335 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/fruitsofkarma [1.339 info src/plugin.cpp:233 loadPlugin] Loaded fruitsofkarma v2.0.4 [1.339 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Fundamental [1.344 info src/plugin.cpp:233 loadPlugin] Loaded Fundamental v2.3.1 [1.344 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Geodesics [1.347 info src/plugin.cpp:233 loadPlugin] Loaded Geodesics v2.2.5 [1.347 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Geodesics-Vultiverse [1.352 info src/plugin.cpp:233 loadPlugin] Loaded Geodesics-Vultiverse v2.0.2 [1.352 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/GlueTheGiant [1.353 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/Geodesics-Vultiverse.vcvkey?version=2&machineId=94906D6652 [1.356 info src/plugin.cpp:233 loadPlugin] Loaded GlueTheGiant v2.0.1 [1.356 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/GoodSheperd [1.358 info src/plugin.cpp:233 loadPlugin] Loaded GoodSheperd v2.0.0 [1.358 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/GrandeModular [1.362 info src/plugin.cpp:233 loadPlugin] Loaded GrandeModular v2.6.2 [1.362 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Grayscale [1.365 info src/plugin.cpp:233 loadPlugin] Loaded Grayscale v2.0.2 [1.365 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/h4n4-modules [1.370 info src/plugin.cpp:233 loadPlugin] Loaded h4n4-modules v2.1.1 [1.370 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/HamptonHarmonics [1.374 info src/plugin.cpp:233 loadPlugin] Loaded HamptonHarmonics v2.0.1 [1.374 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/HetrickCV [1.381 info src/plugin.cpp:233 loadPlugin] Loaded HetrickCV v2.0.0 [1.381 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/HolonicSystems-Free [1.385 info src/plugin.cpp:233 loadPlugin] Loaded HolonicSystems-Free v2.0.7 [1.385 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Hora-AnalogDrums [1.390 info src/plugin.cpp:233 loadPlugin] Loaded Hora-AnalogDrums v2.0.1 [1.390 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Hora-PCMDrum [1.397 info src/plugin.cpp:233 loadPlugin] Loaded Hora-PCMDrum v2.0.1 [1.397 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Hora-Processors [1.404 info src/plugin.cpp:233 loadPlugin] Loaded Hora-Processors v2.0.1 [1.404 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Hora-Sequencers [1.407 info src/plugin.cpp:233 loadPlugin] Loaded Hora-Sequencers v2.0.1 [1.407 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Hora-treasureFree [1.411 info src/plugin.cpp:233 loadPlugin] Loaded Hora-treasureFree v2.0.1 [1.411 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Hora-VCO_VCF_VCA_Free [1.419 info src/plugin.cpp:233 loadPlugin] Loaded Hora-VCO_VCF_VCA_Free v2.0.3 [1.419 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/IggyLabsModules [1.422 info src/plugin.cpp:233 loadPlugin] Loaded IggyLabsModules v2.0.0 [1.422 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/ihtsyn [1.427 info src/plugin.cpp:233 loadPlugin] Loaded ihtsyn v2.0.0 [1.427 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/ImpromptuModular [1.433 info src/plugin.cpp:233 loadPlugin] Loaded ImpromptuModular v2.1.1 [1.433 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Inklen-CableColourKey [1.437 info src/plugin.cpp:233 loadPlugin] Loaded Inklen-CableColourKey v2.0.0 [1.437 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Instruo [1.441 info src/plugin.cpp:233 loadPlugin] Loaded Instruo v2.0.0 [1.441 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/JW-Modules [1.451 info src/plugin.cpp:233 loadPlugin] Loaded JW-Modules v2.0.2 [1.451 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/KautenjaDSP-PotatoChips [1.456 info src/plugin.cpp:233 loadPlugin] Loaded KautenjaDSP-PotatoChips v2.0.0 [1.456 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/kingofflavour [1.459 info src/plugin.cpp:233 loadPlugin] Loaded kingofflavour v2.0.0-6697bc8 [1.459 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Kinotone [1.475 info src/plugin.cpp:233 loadPlugin] Loaded Kinotone v2.0.4 [1.475 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/LifeFormModular [1.479 info src/plugin.cpp:233 loadPlugin] Loaded LifeFormModular v2.0 [1.479 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/LilacLoop [1.485 info src/plugin.cpp:233 loadPlugin] Loaded LilacLoop v2.0.0 [1.485 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/LilacModules [1.487 info src/plugin.cpp:233 loadPlugin] Loaded LilacModules v2.0.1 [1.487 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/LittleUtils [1.491 info src/plugin.cpp:233 loadPlugin] Loaded LittleUtils v2.0.0 [1.491 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/LOGinstruments [1.494 info src/plugin.cpp:233 loadPlugin] Loaded LOGinstruments v2.0.3 [1.494 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/LomasModules [1.496 info src/plugin.cpp:233 loadPlugin] Loaded LomasModules v2.0.0 [1.496 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/LunettaModula [1.500 info src/plugin.cpp:233 loadPlugin] Loaded LunettaModula v2.0.0 [1.500 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Mental [1.503 info src/plugin.cpp:233 loadPlugin] Loaded Mental v2.0.0 [1.504 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/MindMeldModular [1.511 info src/plugin.cpp:233 loadPlugin] Loaded MindMeldModular v2.1.0 [1.511 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/ML_modules [1.518 info src/plugin.cpp:233 loadPlugin] Loaded ML_modules v2.0.2 [1.518 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/moDllz [1.522 info src/plugin.cpp:233 loadPlugin] Loaded moDllz v2.1.0 [1.522 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/modular80 [1.526 info src/plugin.cpp:233 loadPlugin] Loaded modular80 v2.0.1 [1.526 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Mog [1.529 info src/plugin.cpp:233 loadPlugin] Loaded Mog v2.0.0 [1.529 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/MSM [1.537 info src/plugin.cpp:233 loadPlugin] Loaded MSM v2.0.3 [1.537 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/NANOModules [1.540 info src/plugin.cpp:233 loadPlugin] Loaded NANOModules v2.0.0.0 [1.540 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/NielsenPlugs-Panels [1.543 info src/plugin.cpp:233 loadPlugin] Loaded NielsenPlugs-Panels v2.0.2 [1.543 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/NonlinearCircuits [1.546 info src/plugin.cpp:233 loadPlugin] Loaded NonlinearCircuits v2.0.0 [1.546 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/NonLinearInstruments [1.549 info src/plugin.cpp:233 loadPlugin] Loaded NonLinearInstruments v2.0.0 [1.549 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/noobhour [1.551 info src/plugin.cpp:233 loadPlugin] Loaded noobhour v2.0.0 [1.551 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Nozoid [1.556 info src/plugin.cpp:233 loadPlugin] Loaded Nozoid v2.1.3 [1.556 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/NYSTHI [1.576 info src/plugin.cpp:233 loadPlugin] Loaded NYSTHI v2.0.15 [1.576 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Ohmer [1.580 info src/plugin.cpp:233 loadPlugin] Loaded Ohmer v2.1.0 [1.580 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/OhmerPrems [1.584 info src/plugin.cpp:233 loadPlugin] Loaded OhmerPrems v2.0.1 [1.584 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/OrangeLine [1.587 info src/plugin.cpp:233 loadPlugin] Loaded OrangeLine v2.4.2 [1.588 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Oxidlab-Entferner-Free [1.591 info src/plugin.cpp:233 loadPlugin] Loaded Oxidlab-Entferner-Free v2.0.6 [1.591 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/PathSet [1.596 info src/plugin.cpp:233 loadPlugin] Loaded PathSet v2.4.1 [1.596 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/PdArray [1.602 info src/plugin.cpp:233 loadPlugin] Loaded PdArray v2.0.6 [1.602 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/PinkTrombone [1.605 info src/plugin.cpp:233 loadPlugin] Loaded PinkTrombone v2.0.2 [1.605 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Prism [1.615 info src/plugin.cpp:233 loadPlugin] Loaded Prism v2.3.4 [1.615 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/PS-PurrSoftware [1.620 info src/plugin.cpp:233 loadPlugin] Loaded PS-PurrSoftware v2.0.22 [1.620 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/QuantalAudio [1.623 info src/plugin.cpp:233 loadPlugin] Loaded QuantalAudio v2.0.1 [1.623 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/rackwindows [1.627 info src/plugin.cpp:233 loadPlugin] Loaded rackwindows v2.0.1 [1.627 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/RareBreeds_Orbits [1.631 info src/plugin.cpp:233 loadPlugin] Loaded RareBreeds_Orbits v2.0.1 [1.631 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/rcm [1.637 info src/plugin.cpp:233 loadPlugin] Loaded rcm v2.0.0 [1.638 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/RebelTech [1.641 info src/plugin.cpp:233 loadPlugin] Loaded RebelTech v2.0.0 [1.641 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/repelzen [1.643 info src/plugin.cpp:233 loadPlugin] Loaded repelzen v2.0.0 [1.643 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/RPJ [1.651 info src/plugin.cpp:233 loadPlugin] Loaded RPJ v2.2.1 [1.651 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/scanner-darkly-collection-one [1.654 info src/plugin.cpp:233 loadPlugin] Loaded scanner-darkly-collection-one v2.0.4 [1.654 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Sckitam [1.657 info src/plugin.cpp:233 loadPlugin] Loaded Sckitam v2.0.1 [1.657 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Sculpt-O-Sound [1.661 info src/plugin.cpp:233 loadPlugin] Loaded Sculpt-O-Sound v2.1.0 [1.661 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/SeasideModular [1.665 info src/plugin.cpp:233 loadPlugin] Loaded SeasideModular v2.0.1 [1.665 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Sha-Bang-Modules [1.669 info src/plugin.cpp:233 loadPlugin] Loaded Sha-Bang-Modules v2.2.1 [1.669 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/SLM [1.672 info src/plugin.cpp:233 loadPlugin] Loaded SLM v2.0.0 [1.672 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/SonusModular [1.678 info src/plugin.cpp:233 loadPlugin] Loaded SonusModular v2.0.0 [1.678 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/squinkylabs-plug1 [1.688 info src/plugin.cpp:233 loadPlugin] Loaded squinkylabs-plug1 v2.1.7 [1.688 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/squinkytronix-plug1 [1.694 info src/plugin.cpp:233 loadPlugin] Loaded squinkytronix-plug1 v2.0.0 [1.694 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Starling_Via [1.699 info src/plugin.cpp:233 loadPlugin] Loaded Starling_Via v2.0.0 [1.699 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/StellareModular [1.703 info src/plugin.cpp:233 loadPlugin] Loaded StellareModular v2.0.0 [1.703 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/stocaudio [1.706 info src/plugin.cpp:233 loadPlugin] Loaded stocaudio v2.0.1 [1.706 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Stoermelder-P1 [1.719 info src/plugin.cpp:233 loadPlugin] Loaded Stoermelder-P1 v2.0.37d7231 [1.719 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/SubmarineFree [1.727 info src/plugin.cpp:233 loadPlugin] Loaded SubmarineFree v2.0.4 [1.727 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/SynthKit [1.731 info src/plugin.cpp:233 loadPlugin] Loaded SynthKit v2.0.1 [1.731 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/unless_modules [1.738 info src/theme.hpp:217 check] [ unless ] loading color theme from ./unlessgames/theme.json [1.738 info src/plugin.cpp:233 loadPlugin] Loaded unless_modules v2.2.5 [1.738 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/Valley [1.746 info src/plugin.cpp:233 loadPlugin] Loaded Valley v2.0.4 [1.746 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/VCV-Console [1.750 info src/plugin.cpp:233 loadPlugin] Loaded VCV-Console v2.0.4 [1.750 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/VCV-Host [1.750 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/VCV-Console.vcvkey?version=2&machineId=94906D6652 [1.759 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/VCV-Host.vcvkey?version=2&machineId=94906D6652 [1.759 info src/plugin.cpp:233 loadPlugin] Loaded VCV-Host v2.0.3 [1.760 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/VCV-PulseMatrix [1.763 info src/plugin.cpp:233 loadPlugin] Loaded VCV-PulseMatrix v2.0.3 [1.763 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/VCV-Recorder [1.764 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/VCV-PulseMatrix.vcvkey?version=2&machineId=94906D6652 [1.768 info src/plugin.cpp:233 loadPlugin] Loaded VCV-Recorder v2.0.0 [1.768 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/vitamin [1.771 info src/plugin.cpp:233 loadPlugin] Loaded vitamin v2.0.1 [1.771 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/voxglitch [1.777 info src/plugin.cpp:233 loadPlugin] Loaded voxglitch v2.21.3 [1.777 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/VultCompacts [1.785 info src/plugin.cpp:233 loadPlugin] Loaded VultCompacts v2.0.8 [1.785 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/VultModules [1.785 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/VultCompacts.vcvkey?version=2&machineId=94906D6652 [1.794 info src/plugin.cpp:233 loadPlugin] Loaded VultModules v2.0.8 [1.794 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/VultModulesFree [1.794 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/VultModules.vcvkey?version=2&machineId=94906D6652 [1.800 info src/plugin.cpp:233 loadPlugin] Loaded VultModulesFree v2.0.8 [1.800 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/VultMysteries [1.806 info src/plugin.cpp:233 loadPlugin] Loaded VultMysteries v2.0.8 [1.806 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/wiqid-anomalies [1.806 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/VultMysteries.vcvkey?version=2&machineId=94906D6652 [1.809 info src/plugin.cpp:233 loadPlugin] Loaded wiqid-anomalies v2.0.0 [1.809 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/WrongPeople [1.815 info src/plugin.cpp:233 loadPlugin] Loaded WrongPeople v2.0.1 [1.815 info src/plugin.cpp:162 loadPlugin] Loading plugin from I:/OneDrive/Documents/Rack2/plugins/ZZC [1.821 info src/plugin.cpp:233 loadPlugin] Loaded ZZC v2.0.2 [1.821 info adapters/standalone.cpp:181 main] Initializing browser [1.828 info adapters/standalone.cpp:183 main] Initializing library [1.828 info adapters/standalone.cpp:186 main] Initializing UI [1.828 info adapters/standalone.cpp:188 main] Initializing window [1.841 info adapters/standalone.cpp:194 main] Creating engine [1.841 info adapters/standalone.cpp:196 main] Creating history state [1.841 info adapters/standalone.cpp:198 main] Creating event state [1.841 info adapters/standalone.cpp:200 main] Creating scene [1.843 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/Rail.svg [1.847 info adapters/standalone.cpp:203 main] Creating patch manager [1.847 info adapters/standalone.cpp:206 main] Creating window [2.003 info src/window/Window.cpp:352 Window] Window content scale: 1.500000 [2.017 info src/window/Window.cpp:413 Window] Renderer: ATI Technologies Inc. Radeon RX 570 Series [2.017 info src/window/Window.cpp:414 Window] OpenGL: 4.6.14760 Compatibility Profile Context 20.45.36 27.20.14536.3 [2.022 info src/window/Window.cpp:50 loadFile] Loaded font C:/Program Files/VCV/Rack2Pro/res/fonts/DejaVuSans.ttf [5.654 info src/patch.cpp:398 loadAutosave] Loading autosave I:/OneDrive/Documents/Rack2/autosave/patch.json [5.712 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu Clkd [5.712 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Manual Gate [5.712 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Master Reset Controller [5.712 info src/engine/Engine.cpp:1395 fromJson] Creating module MindMeld BassMaster [5.712 info src/engine/Engine.cpp:1395 fromJson] Creating module MindMeld EqMaster [5.712 info src/engine/Engine.cpp:1395 fromJson] Creating module MindMeld Meld [5.712 info src/engine/Engine.cpp:1395 fromJson] Creating module MindMeld Meld [5.712 info src/engine/Engine.cpp:1395 fromJson] Creating module MindMeld MixMaster [5.713 info src/engine/Engine.cpp:1395 fromJson] Creating module MindMeld Meld [5.713 info src/engine/Engine.cpp:1395 fromJson] Creating module MindMeld EqSpander [5.713 info src/engine/Engine.cpp:1395 fromJson] Creating module MindMeld AuxSpander [5.713 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinky Labs Comp [5.713 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Light Strip [5.713 info src/engine/Engine.cpp:1395 fromJson] Creating module Holonic Systems Gaps [5.713 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Light Strip [5.714 info src/engine/Engine.cpp:1395 fromJson] Creating module VCV Host-FX [5.714 info src/engine/Engine.cpp:1395 fromJson] Creating module AS TriggersMKIII [5.714 info src/engine/Engine.cpp:1395 fromJson] Creating module Submarine TD-316 [5.714 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinky Labs Comp II [5.714 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinky Labs Comp II [5.714 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinky Labs Comp II [5.714 info src/engine/Engine.cpp:1395 fromJson] Creating module Valley Plateau [5.717 info src/engine/Engine.cpp:1395 fromJson] Creating module VCV Audio 2 [5.717 info src/rtaudio.cpp:52 RtAudioDevice] Creating RtAudio ASIO device [5.719 info src/rtaudio.cpp:119 openStream] Opening RtAudio ASIO device 1: Focusrite USB ASIO (2 in, 2 out, 48000 sample rate, 256 block size) [5.846 info src/network.cpp:147 requestJson] Requesting JSON GET https://api.vcvrack.com/version?edition=Pro [6.227 info src/network.cpp:147 requestJson] Requesting JSON GET https://api.vcvrack.com/user [6.437 info src/rtaudio.cpp:129 openStream] Starting RtAudio ASIO device 1 [6.443 info src/rtaudio.cpp:147 closeStream] Stopping RtAudio ASIO device 1 [6.479 info src/rtaudio.cpp:151 closeStream] Closing RtAudio ASIO device 1 [6.479 info src/rtaudio.cpp:119 openStream] Opening RtAudio ASIO device 1: Focusrite USB ASIO (2 in, 2 out, 48000 sample rate, 512 block size) [6.565 info src/network.cpp:147 requestJson] Requesting JSON GET https://api.vcvrack.com/library/manifests?version=2 [7.151 info src/network.cpp:147 requestJson] Requesting JSON GET https://api.vcvrack.com/modules [7.213 info src/rtaudio.cpp:129 openStream] Starting RtAudio ASIO device 1 [7.223 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Multiple [7.223 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Multiple [7.223 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Multiple [7.223 info src/engine/Engine.cpp:1395 fromJson] Creating module VCV Recorder [7.224 info src/engine/Engine.cpp:1395 fromJson] Creating module stoermelder GLUE [7.224 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Multiple [7.224 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Multiple [7.224 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Multiple [7.224 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Light Strip [7.224 info src/engine/Engine.cpp:1395 fromJson] Creating module NYSTHI Single Slim VU Meter [7.252 info src/engine/Engine.cpp:1395 fromJson] Creating module NYSTHI Single Slim VU Meter [7.252 info src/engine/Engine.cpp:1395 fromJson] Creating module NYSTHI Single Slim VU Meter [7.252 info src/engine/Engine.cpp:1395 fromJson] Creating module NYSTHI Single Slim VU Meter [7.252 info src/engine/Engine.cpp:1395 fromJson] Creating module NYSTHI Single Slim VU Meter [7.252 info src/engine/Engine.cpp:1395 fromJson] Creating module NYSTHI Single Slim VU Meter [7.252 info src/engine/Engine.cpp:1395 fromJson] Creating module NYSTHI Single Slim VU Meter [7.252 info src/engine/Engine.cpp:1395 fromJson] Creating module NYSTHI Single Slim VU Meter [7.252 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Light Strip [7.253 info src/engine/Engine.cpp:1395 fromJson] Creating module Inklen Cable Colour Key [7.253 info src/engine/Engine.cpp:1395 fromJson] Creating module Voxglitch Groove Box [7.269 info src/engine/Engine.cpp:1395 fromJson] Creating module docB Klee [7.269 info src/engine/Engine.cpp:1395 fromJson] Creating module Voxglitch Digital Programmer [7.269 info src/engine/Engine.cpp:1395 fromJson] Creating module Voxglitch Groove Box [7.285 info src/engine/Engine.cpp:1395 fromJson] Creating module Voxglitch Groove Box Expander [7.286 info src/engine/Engine.cpp:1395 fromJson] Creating module Voxglitch Groove Box Expander [7.292 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu Clkd [7.294 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/ImpromptuModular/res/panels/Clkd.svg [7.294 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/ScrewSilver.svg [7.295 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/ScrewBlack.svg [7.296 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/PJ301M.svg [7.296 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/VCVBezel.svg [7.297 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/Rogan1PWhite.svg [7.298 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/Rogan1P_bg.svg [7.298 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/ImpromptuModular/res/comp/complib/Rogan1PWhite_fg.svg [7.298 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/SmallLight.svg [7.299 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/TL1105_0.svg [7.299 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/TL1105_1.svg [7.300 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/ImpromptuModular/res/comp/complib/Trimpot.svg [7.300 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/ImpromptuModular/res/comp/complib/Trimpot_bg.svg [7.300 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Count Modula Manual Gate [7.301 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/CountModula/res/Moonlight/ManualGate.svg [7.302 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/CountModula/res/Components/ScrewHex.svg [7.302 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/CountModula/res/Components/Knob-bg.svg [7.302 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/CountModula/res/Components/Knob-fg.svg [7.303 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/CountModula/res/Components/KnobGreen.svg [7.303 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/CountModula/res/Components/Jack.svg [7.304 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/MediumLight.svg [7.304 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/CountModula/res/Components/PushButtonMega_0.svg [7.304 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Count Modula Master Reset Controller [7.305 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/CountModula/res/Moonlight/MasterReset.svg [7.305 info src/app/RackWidget.cpp:327 fromJson] Creating module widget MindMeld BassMaster [7.308 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/dark/BassMasterSnr.svg [7.309 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/bigger-knob-pointer.svg [7.309 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/bass/solo-round-off.svg [7.310 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/bass/solo-round-on.svg [7.310 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/bass/bypass-round-off.svg [7.310 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/bass/bypass-round-on.svg [7.311 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/knob-grey-8.svg [7.311 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/knob-bg-8.svg [7.312 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/jack.svg [7.312 info src/app/RackWidget.cpp:327 fromJson] Creating module widget MindMeld EqMaster [7.316 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/dark/eqmaster.svg [7.317 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/big-knob-pointer.svg [7.317 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/switch-off.svg [7.318 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/switch-on.svg [7.318 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/eq/global-bypass-off.svg [7.319 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/eq/global-bypass-on.svg [7.319 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/jack-poly.svg [7.320 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/eq/low-shelf-on.svg [7.320 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/eq/low-shelf-off.svg [7.321 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/eq/bell-off.svg [7.321 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/eq/bell-on.svg [7.321 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/eq/high-shelf-on.svg [7.322 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/eq/high-shelf-off.svg [7.322 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/eq/band1-off.svg [7.323 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/eq/band1-on.svg [7.323 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/eq/band2-off.svg [7.324 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/eq/band2-on.svg [7.324 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/eq/band3-off.svg [7.325 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/eq/band3-on.svg [7.325 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/eq/band4-off.svg [7.326 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/eq/band4-on.svg [7.326 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/knob-red-8.svg [7.327 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/knob-green-8.svg [7.327 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/knob-blue-8.svg [7.327 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/knob-purple-8.svg [7.327 info src/app/RackWidget.cpp:327 fromJson] Creating module widget MindMeld Meld [7.328 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/dark/meld/meld-1-8.svg [7.329 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/TinyLight.svg [7.329 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/led-button.svg [7.329 info src/app/RackWidget.cpp:327 fromJson] Creating module widget MindMeld Meld [7.330 info src/app/RackWidget.cpp:327 fromJson] Creating module widget MindMeld MixMaster [7.339 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/dark/mixmaster.svg [7.340 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/knob-grey-7.5.svg [7.340 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/knob-bg-7.5.svg [7.341 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/fader-channel-bg.svg [7.341 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/fader-channel.svg [7.342 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/mute-off.svg [7.342 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/mute-on.svg [7.342 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/solo-off.svg [7.343 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/solo-on.svg [7.343 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/group-minus.svg [7.344 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/group-minus-active.svg [7.344 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/group-plus.svg [7.344 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/group-plus-active.svg [7.349 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/fader-master-bg.svg [7.350 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/fader-master.svg [7.350 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/dim-off.svg [7.350 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/dim-on.svg [7.351 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/mono-off.svg [7.351 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/mono-on.svg [7.351 info src/app/RackWidget.cpp:327 fromJson] Creating module widget MindMeld Meld [7.352 info src/app/RackWidget.cpp:327 fromJson] Creating module widget MindMeld EqSpander [7.352 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/dark/EqSpander.svg [7.353 info src/app/RackWidget.cpp:327 fromJson] Creating module widget MindMeld AuxSpander [7.370 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/dark/auxspander.svg [7.371 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/fader-aux-bg.svg [7.371 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/knob-red-7.5.svg [7.372 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/knob-orange-7.5.svg [7.372 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/knob-blue-7.5.svg [7.372 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/knob-purple-7.5.svg [7.373 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Squinky Labs Comp [7.374 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/squinkylabs-plug1/res/compressor_panel.svg [7.375 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/squinkylabs-plug1/res/RoganSLBlue30.svg [7.375 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/squinkylabs-plug1/res/RoganSLBlue30-bg.svg [7.375 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/squinkylabs-plug1/res/RoganSLBlue30-fg.svg [7.375 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/squinkylabs-plug1/res/square-button-01.svg [7.375 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/squinkylabs-plug1/res/square-button-02.svg [7.376 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Count Modula Light Strip [7.376 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/CountModula/res/Raven/LightStrip.svg [7.376 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Holonic Systems Gaps [7.377 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/HolonicSystems-Free/res/HolonicSystems-Gaps.svg [7.378 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/VCVButton_0.svg [7.378 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/VCVButton_1.svg [7.379 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/CKSS_0.svg [7.379 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/CKSS_1.svg [7.380 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/RoundSmallBlackKnob.svg [7.380 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/RoundSmallBlackKnob_bg.svg [7.380 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Count Modula Light Strip [7.380 info src/app/RackWidget.cpp:327 fromJson] Creating module widget VCV Host-FX [7.381 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/VCV-Host/res/Host-FX.svg [7.381 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/VCV-Host/res/power.svg [7.382 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/VCV-Host/res/preview.svg [7.382 info src/app/RackWidget.cpp:327 fromJson] Creating module widget AS TriggersMKIII [7.383 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/AS/res/TriggersMKIII.svg [7.383 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/AS/res/as_hexscrew.svg [7.383 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/AS/res/as_JumboLEDBezel60_0.svg [7.384 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/AS/res/as_PJ301M.svg [7.384 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/AS/res/as_PJ301M_output_port.svg [7.384 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Submarine TD-316 [7.384 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Squinky Labs Comp II [7.385 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/squinkylabs-plug1/res/compressor2_panel.svg [7.386 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/squinkylabs-plug1/res/oval-button-down.svg [7.386 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/squinkylabs-plug1/res/oval-button-up-grey.svg [7.386 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Squinky Labs Comp II [7.386 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Squinky Labs Comp II [7.386 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Valley Plateau [7.389 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/Valley/res/PlateauPanelDark.svg [7.392 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/Valley/res/PlateauPanelLight.svg [7.392 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/Valley/res/PJ301MDarkSmall.svg [7.393 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/Valley/res/PJ301MDarkSmallOut.svg [7.393 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/Valley/res/v2/Med/Rogan1PSWhiteMedSmall.svg [7.394 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/Valley/res/v2/Med/Rogan1PSMedSmall-bg.svg [7.394 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/Valley/res/v2/Med/Rogan1PSWhiteMedSmall-fg.svg [7.395 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/Valley/res/v2/Small/Rogan1PSWhiteSmall.svg [7.395 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/Valley/res/v2/Small/Rogan1PSSmall-bg.svg [7.395 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/Valley/res/v2/Small/Rogan1PSWhiteSmall-fg.svg [7.396 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/Valley/res/v2/Med/Rogan1PSGreenMed.svg [7.396 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/Valley/res/v2/Med/Rogan1PSMed-bg.svg [7.396 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/Valley/res/v2/Med/Rogan1PSGreenMed-fg.svg [7.397 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/Valley/res/v2/Med/Rogan1PSBlueMed.svg [7.397 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/Valley/res/v2/Med/Rogan1PSBlueMed-fg.svg [7.398 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/Valley/res/v2/Med/Rogan1PSRedMed.svg [7.398 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/Valley/res/v2/Med/Rogan1PSRedMed-fg.svg [7.399 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/Valley/res/v2/Small/Rogan1PSGreenSmall.svg [7.399 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/Valley/res/v2/Small/Rogan1PSGreenSmall-fg.svg [7.400 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/Valley/res/v2/Small/Rogan1PSBlueSmall.svg [7.400 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/Valley/res/v2/Small/Rogan1PSBlueSmall-fg.svg [7.400 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/Valley/res/v2/Small/Rogan1PSRedSmall.svg [7.401 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/Valley/res/v2/Small/Rogan1PSRedSmall-fg.svg [7.401 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/Valley/res/LightLEDButton80.svg [7.401 info src/app/RackWidget.cpp:327 fromJson] Creating module widget VCV Audio 2 [7.402 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/Core/Audio2.svg [7.403 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/RoundLargeBlackKnob.svg [7.403 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/RoundLargeBlackKnob_bg.svg [7.403 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Count Modula Multiple [7.404 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/CountModula/res/Moonlight/Mult.svg [7.404 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Count Modula Multiple [7.404 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Count Modula Multiple [7.404 info src/app/RackWidget.cpp:327 fromJson] Creating module widget VCV Recorder [7.405 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/VCV-Recorder/res/Recorder.svg [7.406 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/RoundBigBlackKnob.svg [7.406 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/RoundBigBlackKnob_bg.svg [7.407 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/VCV-Recorder/res/RecButton.svg [7.407 info src/app/RackWidget.cpp:327 fromJson] Creating module widget stoermelder GLUE [7.408 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/Stoermelder-P1/res/Glue.svg [7.409 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/Stoermelder-P1/res/components/Screw.svg [7.409 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Count Modula Multiple [7.409 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Count Modula Multiple [7.409 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Count Modula Multiple [7.409 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Count Modula Light Strip [7.409 info src/app/RackWidget.cpp:327 fromJson] Creating module widget NYSTHI Single Slim VU Meter [7.410 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/NYSTHI/res/VUMeterSlim.svg [7.410 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/NYSTHI/res/ctrls/pyer/colored_pj301m_styled/PJ301M_15_IN.svg [7.411 info src/app/RackWidget.cpp:327 fromJson] Creating module widget NYSTHI Single Slim VU Meter [7.411 info src/app/RackWidget.cpp:327 fromJson] Creating module widget NYSTHI Single Slim VU Meter [7.411 info src/app/RackWidget.cpp:327 fromJson] Creating module widget NYSTHI Single Slim VU Meter [7.411 info src/app/RackWidget.cpp:327 fromJson] Creating module widget NYSTHI Single Slim VU Meter [7.411 info src/app/RackWidget.cpp:327 fromJson] Creating module widget NYSTHI Single Slim VU Meter [7.411 info src/app/RackWidget.cpp:327 fromJson] Creating module widget NYSTHI Single Slim VU Meter [7.411 info src/app/RackWidget.cpp:327 fromJson] Creating module widget NYSTHI Single Slim VU Meter [7.411 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Count Modula Light Strip [7.411 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Inklen Cable Colour Key [7.411 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Voxglitch Groove Box [7.416 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/voxglitch/res/groove_box_front_panel.svg [7.417 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/voxglitch/res/components/TrimpotMedium.svg [7.417 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/voxglitch/res/components/TrimpotMedium_bg.svg [7.418 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/voxglitch/res/components/modded_CL1362.svg [7.419 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/Trimpot.svg [7.419 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/Trimpot_bg.svg [7.419 info src/app/RackWidget.cpp:327 fromJson] Creating module widget docB Klee [7.426 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/dbRackSequencer/res/Klee.svg [7.426 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/dbRackSequencer/res/SmallButton0.svg [7.426 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/dbRackSequencer/res/SmallButton1.svg [7.427 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/dbRackSequencer/res/TrimpotWhite.svg [7.427 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/dbRackSequencer/res/SmallPort.svg [7.428 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/dbRackSequencer/res/SmallLight.svg [7.428 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/dbRackSequencer/res/button_9px_off.svg [7.429 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/dbRackSequencer/res/button_9px_active.svg [7.429 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/dbRackSequencer/res/RButton0.svg [7.430 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/dbRackSequencer/res/RButton1.svg [7.431 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Voxglitch Digital Programmer [7.432 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/voxglitch/res/digital_programmer/themes/default/typography.svg [7.433 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/voxglitch/res/digital_programmer/themes/default/panel.svg [7.433 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/voxglitch/res/components/voxglitch_output_port.svg [7.434 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/voxglitch/res/components/voxglitch_input_port.svg [7.434 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/voxglitch/res/components/voxglitch_poly_port.svg [7.435 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/voxglitch/res/components/round_light_off.svg [7.435 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/voxglitch/res/components/round_light_on.svg [7.436 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/voxglitch/res/components/square_light_off.svg [7.436 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/voxglitch/res/components/square_light_on.svg [7.436 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Voxglitch Groove Box [7.438 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Voxglitch Groove Box Expander [7.443 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/voxglitch/res/groove_box_expander_front_panel.svg [7.443 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Voxglitch Groove Box Expander [7.444 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/Plug.svg [7.445 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/PlugPort.svg [7.457 info adapters/standalone.cpp:241 main] Running window [7.527 warn src/library.cpp:228 checkUpdates] VCV account has plugin mental but no manifest was found [7.528 warn src/library.cpp:228 checkUpdates] VCV account has plugin OhmerPrems-Free but no manifest was found [7.592 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/dark/meld/16track/M_S-Grp-Mstr.svg [7.593 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/dark/meld/16track/Mute.svg [7.593 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/fade-off.svg [7.593 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/fade-on.svg [7.594 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/dark/meld/16track/Solo.svg [7.596 info src/window/Svg.cpp:28 loadFile] Loaded SVG I:/OneDrive/Documents/Rack2/plugins/Stoermelder-P1/res/dark/Glue.svg [7.617 info src/window/Window.cpp:50 loadFile] Loaded font I:/OneDrive/Documents/Rack2/plugins/Inklen-CableColourKey/res/Teko-Medium-Mod-Small.ttf [7.619 info src/window/Window.cpp:50 loadFile] Loaded font I:/OneDrive/Documents/Rack2/plugins/Stoermelder-P1/res/fonts/RedkostComic.otf [7.619 info src/window/Window.cpp:50 loadFile] Loaded font C:/Program Files/VCV/Rack2Pro/res/fonts/ShareTechMono-Regular.ttf [7.620 info src/window/Window.cpp:50 loadFile] Loaded font I:/OneDrive/Documents/Rack2/plugins/ImpromptuModular/res/fonts/Segment14.ttf [7.621 info src/window/Window.cpp:50 loadFile] Loaded font I:/OneDrive/Documents/Rack2/plugins/MindMeldModular/res/fonts/RobotoCondensed-Regular.ttf [15.040 info src/patch.cpp:254 saveAutosave] Saving autosave I:/OneDrive/Documents/Rack2/autosave/patch.json [15.122 info src/settings.cpp:470 save] Saving settings I:/OneDrive/Documents/Rack2/settings.json [19.493 info src/patch.cpp:316 load] Loading patch I:\OneDrive\Documents\Rack2\patches\glass pain2 work.vcv [19.511 info src/rtaudio.cpp:147 closeStream] Stopping RtAudio ASIO device 1 [19.546 info src/rtaudio.cpp:151 closeStream] Closing RtAudio ASIO device 1 [19.562 info src/patch.cpp:331 load] Unarchived patch in 0.003450 seconds [19.562 info src/patch.cpp:398 loadAutosave] Loading autosave I:/OneDrive/Documents/Rack2/autosave/patch.json [19.602 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu Clkd [19.602 info src/engine/Module.cpp:173 fromJson] Patch created with ImpromptuModular v2.1.0, currently using v2.1.1. [19.602 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Manual Gate [19.602 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Master Reset Controller [19.603 info src/engine/Engine.cpp:1395 fromJson] Creating module MindMeld BassMaster [19.603 info src/engine/Engine.cpp:1395 fromJson] Creating module MindMeld EqMaster [19.603 info src/engine/Engine.cpp:1395 fromJson] Creating module MindMeld Meld [19.603 info src/engine/Engine.cpp:1395 fromJson] Creating module MindMeld Meld [19.604 info src/engine/Engine.cpp:1395 fromJson] Creating module MindMeld MixMaster [19.604 info src/engine/Engine.cpp:1395 fromJson] Creating module MindMeld Meld [19.604 info src/engine/Engine.cpp:1395 fromJson] Creating module MindMeld EqSpander [19.604 info src/engine/Engine.cpp:1395 fromJson] Creating module MindMeld AuxSpander [19.604 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinky Labs Comp [19.604 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Light Strip [19.604 info src/engine/Engine.cpp:1395 fromJson] Creating module Holonic Systems Gaps [19.604 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Light Strip [19.604 info src/engine/Engine.cpp:1395 fromJson] Creating module VCV Host-FX [19.734 info src/engine/Engine.cpp:1395 fromJson] Creating module AS TriggersMKIII [19.734 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinky Labs Comp II [19.734 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinky Labs Comp II [19.734 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinky Labs Comp II [19.734 info src/engine/Engine.cpp:1395 fromJson] Creating module VCV Audio 2 [19.734 info src/rtaudio.cpp:52 RtAudioDevice] Creating RtAudio ASIO device [19.736 info src/rtaudio.cpp:119 openStream] Opening RtAudio ASIO device 1: Focusrite USB ASIO (2 in, 2 out, 48000 sample rate, 256 block size) [20.484 info src/rtaudio.cpp:129 openStream] Starting RtAudio ASIO device 1 [20.490 info src/rtaudio.cpp:147 closeStream] Stopping RtAudio ASIO device 1 [20.527 info src/rtaudio.cpp:151 closeStream] Closing RtAudio ASIO device 1 [20.527 info src/rtaudio.cpp:119 openStream] Opening RtAudio ASIO device 1: Focusrite USB ASIO (2 in, 2 out, 44100 sample rate, 256 block size) [21.939 info src/rtaudio.cpp:129 openStream] Starting RtAudio ASIO device 1 [21.946 info src/rtaudio.cpp:147 closeStream] Stopping RtAudio ASIO device 1 [21.983 info src/rtaudio.cpp:151 closeStream] Closing RtAudio ASIO device 1 [21.983 info src/rtaudio.cpp:119 openStream] Opening RtAudio ASIO device 1: Focusrite USB ASIO (2 in, 2 out, 44100 sample rate, 512 block size) [28.464 info src/rtaudio.cpp:129 openStream] Starting RtAudio ASIO device 1 [28.470 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Multiple [28.470 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Multiple [28.470 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Multiple [28.470 info src/engine/Engine.cpp:1395 fromJson] Creating module VCV Recorder [28.470 info src/engine/Engine.cpp:1395 fromJson] Creating module stoermelder GLUE [28.470 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Multiple [28.471 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Multiple [28.471 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Multiple [28.471 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Light Strip [28.471 info src/engine/Engine.cpp:1395 fromJson] Creating module NYSTHI Single Slim VU Meter [28.471 info src/engine/Engine.cpp:1395 fromJson] Creating module NYSTHI Single Slim VU Meter [28.471 info src/engine/Engine.cpp:1395 fromJson] Creating module NYSTHI Single Slim VU Meter [28.471 info src/engine/Engine.cpp:1395 fromJson] Creating module NYSTHI Single Slim VU Meter [28.471 info src/engine/Engine.cpp:1395 fromJson] Creating module NYSTHI Single Slim VU Meter [28.471 info src/engine/Engine.cpp:1395 fromJson] Creating module NYSTHI Single Slim VU Meter [28.471 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Light Strip [28.472 info src/engine/Engine.cpp:1395 fromJson] Creating module Atelier Palette [28.472 info src/engine/Engine.cpp:1395 fromJson] Creating module VCV Quantizer [28.472 info src/engine/Engine.cpp:1395 fromJson] Creating module Path Set GlassPane [28.472 info src/engine/Module.cpp:173 fromJson] Patch created with PathSet v2.4.0, currently using v2.4.1. [28.472 info src/engine/Engine.cpp:1395 fromJson] Creating module Vult Anima [28.472 info src/engine/Module.cpp:173 fromJson] Patch created with VultMysteries v2.0.6, currently using v2.0.8. [28.472 info src/engine/Engine.cpp:1395 fromJson] Creating module Vult Spank [28.472 info src/engine/Module.cpp:173 fromJson] Patch created with VultModules v2.0.6, currently using v2.0.8. [28.472 info src/engine/Engine.cpp:1395 fromJson] Creating module Vult Bleak (Free) [28.472 info src/engine/Module.cpp:173 fromJson] Patch created with VultModulesFree v2.0.6, currently using v2.0.8. [28.472 info src/engine/Engine.cpp:1395 fromJson] Creating module Vult Nitrous [28.472 info src/engine/Module.cpp:173 fromJson] Patch created with VultModules v2.0.6, currently using v2.0.8. [28.472 info src/engine/Engine.cpp:1395 fromJson] Creating module Vult Nitrous [28.472 info src/engine/Module.cpp:173 fromJson] Patch created with VultModules v2.0.6, currently using v2.0.8. [28.472 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Light Strip [28.472 info src/engine/Engine.cpp:1395 fromJson] Creating module VCV Pulse Matrix 16 [28.473 info src/engine/Engine.cpp:1395 fromJson] Creating module Hora Hi-Hat Vult [28.473 info src/engine/Engine.cpp:1395 fromJson] Creating module Hora Snare Drum Vult [28.473 info src/engine/Engine.cpp:1395 fromJson] Creating module Befaco Kickall [28.473 info src/engine/Engine.cpp:1395 fromJson] Creating module Audible Instruments Bernoulli Gate [28.473 info src/engine/Engine.cpp:1395 fromJson] Creating module Audible Instruments Bernoulli Gate [28.473 info src/engine/Engine.cpp:1395 fromJson] Creating module Hora RimShot [28.473 info src/engine/Engine.cpp:1395 fromJson] Creating module Voxglitch Groove Box [28.480 info src/engine/Module.cpp:173 fromJson] Patch created with voxglitch v2.21.0, currently using v2.21.3. [28.482 info src/engine/Engine.cpp:1395 fromJson] Creating module Voxglitch Groove Box Expander [28.482 info src/engine/Module.cpp:173 fromJson] Patch created with voxglitch v2.21.0, currently using v2.21.3. [28.482 info src/engine/Engine.cpp:1395 fromJson] Creating module Voxglitch Satanonaut [28.482 info src/engine/Module.cpp:173 fromJson] Patch created with voxglitch v2.21.0, currently using v2.21.3. [28.482 info src/engine/Engine.cpp:1395 fromJson] Creating module Hora Follower [28.482 info src/engine/Engine.cpp:1395 fromJson] Creating module catronomix 8xlfo [28.482 info src/engine/Engine.cpp:1395 fromJson] Creating module VCV 8vert [28.482 info src/engine/Engine.cpp:1395 fromJson] Creating module ML Modules Sequential Switch 1->8 [28.482 info src/engine/Module.cpp:173 fromJson] Patch created with ML_modules v2.0.1, currently using v2.0.2. [28.482 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio SWITCH44 [28.482 info src/engine/Engine.cpp:1395 fromJson] Creating module stoermelder 8FACEx2 [28.486 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Manual DPDT Switch [28.486 info src/engine/Engine.cpp:1395 fromJson] Creating module VCV Host-FX [28.582 info src/engine/Engine.cpp:1395 fromJson] Creating module Audible Instruments Modal Synthesizer [28.583 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio ADDR-SEQ [28.583 info src/engine/Engine.cpp:1395 fromJson] Creating module Sha#Bang! Modules Absorption Spectrum [28.584 info src/engine/Engine.cpp:1395 fromJson] Creating module Vult Jorus [28.584 info src/engine/Module.cpp:173 fromJson] Patch created with VultModules v2.0.6, currently using v2.0.8. [28.584 info src/engine/Engine.cpp:1395 fromJson] Creating module Submarine VM-202 [28.584 info src/engine/Engine.cpp:1395 fromJson] Creating module Voxglitch Digital Programmer [28.584 info src/engine/Module.cpp:173 fromJson] Patch created with voxglitch v2.21.0, currently using v2.21.3. [28.584 info src/engine/Engine.cpp:1395 fromJson] Creating module Alikins Momentary On Buttons [28.584 info src/engine/Engine.cpp:1395 fromJson] Creating module Bidoo HUITre [28.584 info src/engine/Module.cpp:173 fromJson] Patch created with Bidoo v2.0.20, currently using v2.0.22. [28.584 info src/engine/Engine.cpp:1395 fromJson] Creating module Rackwindows Chorus [28.584 info src/engine/Engine.cpp:1395 fromJson] Creating module VCV Scope [28.584 info src/engine/Engine.cpp:1395 fromJson] Creating module computerscare Stoly Fick Pigure [28.584 info src/engine/Engine.cpp:1395 fromJson] Creating module computerscare Stoly Fick Pigure [28.584 info src/engine/Engine.cpp:1395 fromJson] Creating module JW-Modules Tree [28.585 info src/engine/Engine.cpp:1395 fromJson] Creating module AS TriLFO [28.585 info src/engine/Engine.cpp:1395 fromJson] Creating module AS TriLFO [28.585 info src/engine/Engine.cpp:1395 fromJson] Creating module Sha#Bang! Modules Photron Panel [28.585 info src/engine/Engine.cpp:1395 fromJson] Creating module Sha#Bang! Modules Photron Panel [28.585 info src/engine/Engine.cpp:1395 fromJson] Creating module Starling Via SCANNER [28.940 fatal adapters/standalone.cpp:48 fatalSignalHandler] Fatal signal 11. Stack trace: 32: 0x0 31: 0x0 30: _C_specific_handler 0x7ff856187f60 29: _chkstk 0x7ff857992240 28: RtlRaiseException 0x7ff857941070 27: KiUserExceptionDispatcher 0x7ff857990e60 26: strlen 0x7ff8561bd2c0 25: ZN7Scanner12dataFromJsonEP6json_t 0x7fff97f8a280 24: ZN4rack6engine6Engine8fromJsonEP6json_t 0x7fffa3173ef0 23: ZN4rack5patch7Manager8fromJsonEP6json_t 0x7fffa3123d00 22: ZN4rack5patch7Manager12loadAutosaveEv 0x7fffa31240a0 21: ZN4rack5patch7Manager10loadActionENSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEE 0x7fffa31256c0 20: ZN4rack5patch7Manager14loadPathDialogENSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEE 0x7fffa3126120 19: ZNSt17_Function_handlerIFvvEZZN4rack3app7menuBar10FileButton8onActionERKNS1_6widget6Widget11ActionEventEENKUlPNS1_2ui4MenuEE1_clESC_EUlvE_E9_M_invokeERKSt9_Any_data 0x7fffa3614b10 18: ZZN4rack14createMenuItemINS_2ui8MenuItemEEEPT_NSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEESA_St8functionIFvvEEbbEN4Item8onActionERKNS_6widget6Widget11ActionEventE 0x7fffa3637c20 17: ZN4rack2ui8MenuItem8doActionEb 0x7fffa317f3b0 16: ZN4rack6widget10EventState12handleButtonENS_4math3VecEiii 0x7fffa3184a10 15: ZN4rack6window3Svg4loadERKNSt7__cxx1112basic_stringIcSt11char_traitsIcESaIcEEE 0x7fffa3189860 14: glfwGetWin32Monitor 0x7fffa31cb910 13: CallWindowProcW 0x7ff855f0e460 12: CallWindowProcW 0x7ff855f0e460 11: glPushClientAttrib 0x7ff80d92a8d0 10: CallWindowProcW 0x7ff855f0e460 9: DispatchMessageW 0x7ff855f0e040 8: glfwPollEventsWin32 0x7fffa31cfb40 7: ZN4rack6window6Window4stepEv 0x7fffa318ad60 6: ZN4rack6window6Window3runEv 0x7fffa318b720 5: ZN4rack6window6Window3runEv 0x7fffa318b720 4: ZN4rack6window6Window3runEv 0x7fffa318b720 3: ZN4rack6window6Window3runEv 0x7fffa318b720 2: ZN4rack6window6Window3runEv 0x7fffa318b720 1: BaseThreadInitThunk 0x7ff8572d7020 0: RtlUserThreadStart 0x7ff857942680