[0.004 info adapters/standalone.cpp:127 main] VCV Rack Pro v2.1.0 [0.004 info adapters/standalone.cpp:128 main] Standalone [0.004 info adapters/standalone.cpp:129 main] Windows 10.0 [0.004 info adapters/standalone.cpp:135 main] Args: C:\Program Files\VCV\Rack2Pro\Rack.exe [0.004 info adapters/standalone.cpp:138 main] System directory: C:\Program Files\VCV\Rack2Pro [0.005 info adapters/standalone.cpp:139 main] User directory: C:/Users/k-cha/Documents/Rack2 [0.005 info adapters/standalone.cpp:143 main] System time: 2022-04-13 18:31:31 Central Daylight Time [0.005 info src/settings.cpp:455 load] Loading settings C:/Users/k-cha/Documents/Rack2/settings.json [0.010 info adapters/standalone.cpp:166 main] Initializing network [0.014 info adapters/standalone.cpp:169 main] Initializing audio [0.014 info src/rtaudio.cpp:234 RtAudioDriver] Creating RtAudio WASAPI driver [0.015 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/Rack2Pro.vcvkey?version=2&machineId=B22C2DE6E5 [0.055 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio WASAPI device 0: Focusrite USB (Focusrite USB Audio) (0 in, 2 out) [0.069 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio WASAPI device 1: Realtek Digital Output (Realtek(R) Audio) (0 in, 2 out) [0.085 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio WASAPI device 2: Focusrite USB (Focusrite USB Audio) (2 in, 0 out) [0.085 info src/rtaudio.cpp:234 RtAudioDriver] Creating RtAudio ASIO driver [0.093 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio ASIO device 0: Focusrite USB ASIO (6 in, 6 out) [0.097 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio ASIO device 1: iConnectivity ASIO Driver (0 in, 0 out) [0.111 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio ASIO device 2: Realtek ASIO (2 in, 2 out) [0.111 info src/rtaudio.cpp:234 RtAudioDriver] Creating RtAudio DirectSound driver [0.260 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio DirectSound device 0: Primary Sound Driver (0 in, 2 out) [0.275 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio DirectSound device 1: Focusrite USB (Focusrite USB Audio) (0 in, 2 out) [0.289 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio DirectSound device 2: Realtek Digital Output (Realtek(R) Audio) (0 in, 2 out) [0.289 info src/rtaudio.cpp:246 RtAudioDriver] Found RtAudio DirectSound device 3: Primary Sound Capture Driver (2 in, 0 out) [0.289 info adapters/standalone.cpp:172 main] Initializing MIDI [0.290 info adapters/standalone.cpp:177 main] Initializing plugins [0.290 info src/plugin.cpp:152 loadPlugin] Loading Core plugin [0.290 info src/plugin.cpp:212 loadPlugin] Loaded Core v2.1.0 [0.301 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/21kHz [0.302 info src/plugin.cpp:212 loadPlugin] Loaded 21kHz v2.0.1 [0.302 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/AaronStatic [0.303 info src/plugin.cpp:212 loadPlugin] Loaded AaronStatic v2.0.0 [0.304 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Ahornberg [0.305 info src/plugin.cpp:212 loadPlugin] Loaded Ahornberg v2.1.3 [0.305 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Alikins [0.307 info src/plugin.cpp:212 loadPlugin] Loaded Alikins v2.0.1 [0.307 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/AlliewayAudio_Freebies [0.308 info src/plugin.cpp:212 loadPlugin] Loaded AlliewayAudio_Freebies v2.0.6 [0.308 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/AlliewayAudio_Series_I [0.309 info src/plugin.cpp:212 loadPlugin] Loaded AlliewayAudio_Series_I v2.0.6.4e301fc [0.310 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/AlrightDevices [0.311 info src/plugin.cpp:212 loadPlugin] Loaded AlrightDevices v2.0.0 [0.311 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/AmalgamatedHarmonics [0.312 info src/plugin.cpp:212 loadPlugin] Loaded AmalgamatedHarmonics v2.0.0 [0.312 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/AnimatedCircuits [0.314 info src/plugin.cpp:212 loadPlugin] Loaded AnimatedCircuits v2.2.0 [0.314 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/AS [0.315 info src/plugin.cpp:212 loadPlugin] Loaded AS v2.0.3 [0.315 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/AS-Seqs-n-Tools [0.317 info src/plugin.cpp:212 loadPlugin] Loaded AS-Seqs-n-Tools v2.0.1 [0.317 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Atelier [0.318 info src/plugin.cpp:212 loadPlugin] Loaded Atelier v2.0.2 [0.318 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/AudibleInstruments [0.320 info src/plugin.cpp:212 loadPlugin] Loaded AudibleInstruments v2.0.0 [0.320 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Autinn [0.321 info src/plugin.cpp:212 loadPlugin] Loaded Autinn v2.1.0 [0.321 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Autodafe [0.323 info src/plugin.cpp:212 loadPlugin] Loaded Autodafe v2.0.0 [0.324 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Autodafe-DrumKit [0.325 info src/plugin.cpp:212 loadPlugin] Loaded Autodafe-DrumKit v2.0.0 [0.326 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/az [0.327 info src/plugin.cpp:212 loadPlugin] Loaded az v2.0.1 [0.327 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/BaconMusic [0.328 info src/plugin.cpp:212 loadPlugin] Loaded BaconMusic v2.0.0 [0.328 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Bark [0.330 info src/plugin.cpp:212 loadPlugin] Loaded Bark v2.3.1 [0.330 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Befaco [0.332 info src/plugin.cpp:212 loadPlugin] Loaded Befaco v2.1.1 [0.332 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Bidoo [0.334 info src/plugin.cpp:212 loadPlugin] Loaded Bidoo v2.0.13 [0.334 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Bogaudio [0.337 info src/plugin.cpp:212 loadPlugin] Loaded Bogaudio v2.0.39 [0.337 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/CatroModulo [0.338 info src/plugin.cpp:212 loadPlugin] Loaded CatroModulo v2.0.0 [0.338 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/cf [0.340 info src/plugin.cpp:212 loadPlugin] Loaded cf v2.0.2 [0.340 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/CharredDesert [0.341 info src/plugin.cpp:212 loadPlugin] Loaded CharredDesert v2.0.0 [0.341 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/ChowDSP [0.343 info src/plugin.cpp:212 loadPlugin] Loaded ChowDSP v2.0.1 [0.343 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/computerscare [0.344 info src/plugin.cpp:212 loadPlugin] Loaded computerscare v2.0.1 [0.344 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/CountModula [0.347 info src/plugin.cpp:212 loadPlugin] Loaded CountModula v2.1.0 [0.347 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/cvly [0.349 info src/plugin.cpp:212 loadPlugin] Loaded cvly v2.0.1 [0.349 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/DanTModules [0.350 info src/plugin.cpp:212 loadPlugin] Loaded DanTModules v2.3.3 [0.350 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/dBiz [0.352 info src/plugin.cpp:212 loadPlugin] Loaded dBiz v2.0.3 [0.352 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/DHE-Modules [0.353 info src/plugin.cpp:212 loadPlugin] Loaded DHE-Modules v2.0.3 [0.353 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/DrumKit [0.381 info src/plugin.cpp:212 loadPlugin] Loaded DrumKit v2.0.0 [0.381 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/DrumKitPro [0.444 info src/plugin.cpp:212 loadPlugin] Loaded DrumKitPro v2.0.0 [0.445 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Entrian-AcousticDrums [0.451 info src/plugin.cpp:212 loadPlugin] Loaded Entrian-AcousticDrums v2.6.24 [0.451 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Entrian-Free [0.453 info src/plugin.cpp:212 loadPlugin] Loaded Entrian-Free v2.1.24 [0.453 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Entrian-Sequencers [0.455 info src/plugin.cpp:212 loadPlugin] Loaded Entrian-Sequencers v2.1.24 [0.455 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/EricaCopies [0.457 info src/plugin.cpp:212 loadPlugin] Loaded EricaCopies v2.0.2 [0.457 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/ESeries [0.458 info src/plugin.cpp:212 loadPlugin] Loaded ESeries v2.0.2 [0.458 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/FehlerFabrik-Suite [0.459 info src/plugin.cpp:212 loadPlugin] Loaded FehlerFabrik-Suite v2.0.2 [0.460 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/FrequencyDomain [0.461 info src/plugin.cpp:212 loadPlugin] Loaded FrequencyDomain v2.0.0 [0.461 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/FrozenWasteland [0.463 info src/plugin.cpp:212 loadPlugin] Loaded FrozenWasteland v2.0.6 [0.463 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Fundamental [0.464 info src/plugin.cpp:212 loadPlugin] Loaded Fundamental v2.1.0 [0.465 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Geodesics [0.466 info src/plugin.cpp:212 loadPlugin] Loaded Geodesics v2.2.5 [0.466 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Geodesics-Vultiverse [0.469 info src/plugin.cpp:212 loadPlugin] Loaded Geodesics-Vultiverse v2.0.1 [0.469 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/GlueTheGiant [0.469 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/Geodesics-Vultiverse.vcvkey?version=2&machineId=B22C2DE6E5 [0.471 info src/plugin.cpp:212 loadPlugin] Loaded GlueTheGiant v2.0.1 [0.471 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/GoodSheperd [0.472 info src/plugin.cpp:212 loadPlugin] Loaded GoodSheperd v2.0.0 [0.472 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/GrandeModular [0.474 info src/plugin.cpp:212 loadPlugin] Loaded GrandeModular v2.4.0 [0.474 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Grayscale [0.475 info src/plugin.cpp:212 loadPlugin] Loaded Grayscale v2.0.2 [0.475 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/HamptonHarmonics [0.476 info src/plugin.cpp:212 loadPlugin] Loaded HamptonHarmonics v2.0.1 [0.477 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/HetrickCV [0.478 info src/plugin.cpp:212 loadPlugin] Loaded HetrickCV v2.0.0 [0.478 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/HolonicSystems-Free [0.480 info src/plugin.cpp:212 loadPlugin] Loaded HolonicSystems-Free v2.0.7 [0.481 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Hora-ModulationFree [0.482 info src/plugin.cpp:212 loadPlugin] Loaded Hora-ModulationFree v2.0.2 [0.483 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Hora-PCMDrum [0.485 info src/plugin.cpp:212 loadPlugin] Loaded Hora-PCMDrum v2.0.1 [0.485 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Hora-PCMDrumFree [0.487 info src/plugin.cpp:212 loadPlugin] Loaded Hora-PCMDrumFree v2.0.1 [0.487 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Hora-Processors [0.489 info src/plugin.cpp:212 loadPlugin] Loaded Hora-Processors v2.0.1 [0.489 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Hora-treasureFree [0.491 info src/plugin.cpp:212 loadPlugin] Loaded Hora-treasureFree v2.0.1 [0.491 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Hora-VCO_VCF_VCA_Free [0.493 info src/plugin.cpp:212 loadPlugin] Loaded Hora-VCO_VCF_VCA_Free v2.0.3 [0.494 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/IggyLabsModules [0.495 info src/plugin.cpp:212 loadPlugin] Loaded IggyLabsModules v2.0.0 [0.495 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/ImpromptuModular [0.497 info src/plugin.cpp:212 loadPlugin] Loaded ImpromptuModular v2.1.0 [0.497 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Instruo [0.498 info src/plugin.cpp:212 loadPlugin] Loaded Instruo v2.0.0 [0.498 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/JW-Modules [0.500 info src/plugin.cpp:212 loadPlugin] Loaded JW-Modules v2.0.2 [0.500 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/LifeFormModular [0.502 info src/plugin.cpp:212 loadPlugin] Loaded LifeFormModular v2.0 [0.502 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/LilacModules [0.503 info src/plugin.cpp:212 loadPlugin] Loaded LilacModules v2.0.0 [0.503 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/LittleUtils [0.504 info src/plugin.cpp:212 loadPlugin] Loaded LittleUtils v2.0.0 [0.504 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/LomasModules [0.506 info src/plugin.cpp:212 loadPlugin] Loaded LomasModules v2.0.0 [0.506 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Mental [0.507 info src/plugin.cpp:212 loadPlugin] Loaded Mental v2.0.0 [0.507 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/MindMeld-ShapeMasterPro [0.509 info src/plugin.cpp:212 loadPlugin] Loaded MindMeld-ShapeMasterPro v2.0.6 [0.510 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular [0.510 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/MindMeld-ShapeMasterPro.vcvkey?version=2&machineId=B22C2DE6E5 [0.511 info src/plugin.cpp:212 loadPlugin] Loaded MindMeldModular v2.1.0 [0.512 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/ML_modules [0.513 info src/plugin.cpp:212 loadPlugin] Loaded ML_modules v2.0.0 [0.513 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/ML_modules_QU [0.515 info src/plugin.cpp:212 loadPlugin] Loaded ML_modules_QU v2.0.1 [0.515 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/MM_Tools [0.516 info src/plugin.cpp:212 loadPlugin] Loaded MM_Tools v2.0.0 [0.516 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/MockbaModular [0.518 info src/plugin.cpp:212 loadPlugin] Loaded MockbaModular v2.0.0 [0.518 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/modular80 [0.519 info src/plugin.cpp:212 loadPlugin] Loaded modular80 v2.0.1 [0.519 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/MSM [0.521 info src/plugin.cpp:212 loadPlugin] Loaded MSM v2.0.3 [0.521 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/NANOModules [0.522 info src/plugin.cpp:212 loadPlugin] Loaded NANOModules v2.0.0.0 [0.522 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/NonlinearCircuits [0.524 info src/plugin.cpp:212 loadPlugin] Loaded NonlinearCircuits v2.0.0 [0.524 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/NYSTHI [0.527 info src/plugin.cpp:212 loadPlugin] Loaded NYSTHI v2.0.15 [0.528 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Ohmer [0.529 info src/plugin.cpp:212 loadPlugin] Loaded Ohmer v2.1.0 [0.529 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/OhmerPrems [0.530 info src/plugin.cpp:212 loadPlugin] Loaded OhmerPrems v2.0.1 [0.531 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/OrangeLine [0.532 info src/plugin.cpp:212 loadPlugin] Loaded OrangeLine v2.4.1 [0.533 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/OSCelot [0.534 info src/plugin.cpp:212 loadPlugin] Loaded OSCelot v2.0.0 [0.534 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Oxidlab-Entferner-Free [0.535 info src/plugin.cpp:212 loadPlugin] Loaded Oxidlab-Entferner-Free v2.0.6 [0.535 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/PathSet [0.537 info src/plugin.cpp:212 loadPlugin] Loaded PathSet v2.2.0 [0.537 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/PdArray [0.538 info src/plugin.cpp:212 loadPlugin] Loaded PdArray v2.0.6 [0.539 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Prism [0.541 info src/plugin.cpp:212 loadPlugin] Loaded Prism v2.3.3 [0.541 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Prok-Modular [0.544 info src/plugin.cpp:212 loadPlugin] Loaded Prok-Modular v2.0.2 [0.545 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/PS-PurrSoftware [0.546 info src/plugin.cpp:212 loadPlugin] Loaded PS-PurrSoftware v2.0.16 [0.546 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/rchoices [0.548 info src/plugin.cpp:212 loadPlugin] Loaded rchoices v2.0.0 [0.548 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/rcm [0.550 info src/plugin.cpp:212 loadPlugin] Loaded rcm v2.0.0 [0.550 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/scanner-darkly-collection-one [0.551 info src/plugin.cpp:212 loadPlugin] Loaded scanner-darkly-collection-one v2.0.4 [0.551 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Sckitam [0.552 info src/plugin.cpp:212 loadPlugin] Loaded Sckitam v2.0.1 [0.552 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Sha-Bang-Modules [0.554 info src/plugin.cpp:212 loadPlugin] Loaded Sha-Bang-Modules v2.1.1 [0.554 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/SonusModular [0.556 info src/plugin.cpp:212 loadPlugin] Loaded SonusModular v2.0.0 [0.556 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/squinkylabs-plug1 [0.558 info src/plugin.cpp:212 loadPlugin] Loaded squinkylabs-plug1 v2.1.4 [0.558 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/squinkytronix-plug1 [0.559 info src/plugin.cpp:212 loadPlugin] Loaded squinkytronix-plug1 v2.0.0 [0.559 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/StellareModular [0.560 info src/plugin.cpp:212 loadPlugin] Loaded StellareModular v2.0.0 [0.560 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/StellareModular-CreativeSuite [0.562 info src/plugin.cpp:212 loadPlugin] Loaded StellareModular-CreativeSuite v2.0.0 [0.562 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/StellareModular-Link [0.564 info src/plugin.cpp:212 loadPlugin] Loaded StellareModular-Link v2.0.0 [0.564 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/stocaudio [0.565 info src/plugin.cpp:212 loadPlugin] Loaded stocaudio v2.0.1 [0.565 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Stoev-Interference [0.568 info src/plugin.cpp:212 loadPlugin] Loaded Stoev-Interference v2.1.1 [0.568 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/SubmarineFree [0.571 info src/plugin.cpp:212 loadPlugin] Loaded SubmarineFree v2.0.4 [0.571 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/SurgeRack [0.572 info src/Surge.cpp:23 init] [SurgeRack] initializing [0.573 info src/plugin.cpp:212 loadPlugin] Loaded SurgeRack v2.1.7.0 [0.573 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Synthetic [0.576 info src/plugin.cpp:212 loadPlugin] Loaded Synthetic v2.0.0 [0.576 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/SynthKit [0.577 info src/plugin.cpp:212 loadPlugin] Loaded SynthKit v2.0.1 [0.577 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/TinyTricks [0.579 info src/plugin.cpp:212 loadPlugin] Loaded TinyTricks v2.5.0 [0.579 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Tonecarver [0.581 info src/plugin.cpp:212 loadPlugin] Loaded Tonecarver v2.0.0 [0.581 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/UnfilteredVolume1 [0.583 info src/plugin.cpp:212 loadPlugin] Loaded UnfilteredVolume1 v2.0.4 [0.583 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/unless_modules [0.584 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/UnfilteredVolume1.vcvkey?version=2&machineId=B22C2DE6E5 [0.586 info src/theme.hpp:217 check] [ unless ] loading color theme from ./unlessgames/theme.json [0.586 info src/plugin.cpp:212 loadPlugin] Loaded unless_modules v2.2.5 [0.586 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/Valley [0.588 info src/plugin.cpp:212 loadPlugin] Loaded Valley v2.0.4 [0.589 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/VCV-Chords [0.591 info src/plugin.cpp:212 loadPlugin] Loaded VCV-Chords v2.0.3 [0.591 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/VCV-Console [0.592 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/VCV-Chords.vcvkey?version=2&machineId=B22C2DE6E5 [0.594 info src/plugin.cpp:212 loadPlugin] Loaded VCV-Console v2.0.4 [0.594 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/VCV-Drums [0.595 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/VCV-Console.vcvkey?version=2&machineId=B22C2DE6E5 [0.597 info src/plugin.cpp:212 loadPlugin] Loaded VCV-Drums v2.1.7 [0.597 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/VCV-Host [0.598 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/VCV-Drums.vcvkey?version=2&machineId=B22C2DE6E5 [0.601 info src/plugin.cpp:212 loadPlugin] Loaded VCV-Host v2.0.3 [0.601 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/VCV-PulseMatrix [0.601 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/VCV-Host.vcvkey?version=2&machineId=B22C2DE6E5 [0.604 info src/plugin.cpp:212 loadPlugin] Loaded VCV-PulseMatrix v2.0.3 [0.604 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/VCV-Recorder [0.604 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/VCV-PulseMatrix.vcvkey?version=2&machineId=B22C2DE6E5 [0.607 info src/plugin.cpp:212 loadPlugin] Loaded VCV-Recorder v2.0.0 [0.607 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/VCV-Router [0.610 info src/plugin.cpp:212 loadPlugin] Loaded VCV-Router v2.0.4 [0.610 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/VCV-Scalar [0.611 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/VCV-Router.vcvkey?version=2&machineId=B22C2DE6E5 [0.613 info src/plugin.cpp:212 loadPlugin] Loaded VCV-Scalar v2.0.3 [0.613 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/VCV-SoundStage [0.614 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/VCV-Scalar.vcvkey?version=2&machineId=B22C2DE6E5 [0.616 info src/plugin.cpp:212 loadPlugin] Loaded VCV-SoundStage v2.0.4 [0.616 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/voxglitch [0.617 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/VCV-SoundStage.vcvkey?version=2&machineId=B22C2DE6E5 [0.619 info src/plugin.cpp:212 loadPlugin] Loaded voxglitch v2.11.1 [0.619 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/VultCompacts [0.622 info src/plugin.cpp:212 loadPlugin] Loaded VultCompacts v2.0.4 [0.623 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/VultModules [0.623 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/VultCompacts.vcvkey?version=2&machineId=B22C2DE6E5 [0.627 info src/plugin.cpp:212 loadPlugin] Loaded VultModules v2.0.4 [0.627 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/VultMysteries [0.628 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/VultModules.vcvkey?version=2&machineId=B22C2DE6E5 [0.632 info src/plugin.cpp:212 loadPlugin] Loaded VultMysteries v2.0.4 [0.632 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/ZetaCarinae [0.632 info src/network.cpp:201 requestDownload] Requesting download https://api.vcvrack.com/licenses/VultMysteries.vcvkey?version=2&machineId=B22C2DE6E5 [0.633 info src/plugin.cpp:212 loadPlugin] Loaded ZetaCarinae v2.0.4 [0.634 info src/plugin.cpp:154 loadPlugin] Loading plugin from C:/Users/k-cha/Documents/Rack2/plugins/ZZC [0.635 info src/plugin.cpp:212 loadPlugin] Loaded ZZC v2.0.2 [0.635 info adapters/standalone.cpp:179 main] Initializing browser [0.642 info adapters/standalone.cpp:181 main] Initializing library [0.643 info adapters/standalone.cpp:185 main] Initializing UI [0.643 info adapters/standalone.cpp:187 main] Initializing window [0.654 info adapters/standalone.cpp:193 main] Creating engine [0.654 info adapters/standalone.cpp:195 main] Creating history state [0.654 info adapters/standalone.cpp:197 main] Creating event state [0.654 info adapters/standalone.cpp:199 main] Creating scene [0.655 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/Rail.svg [0.661 info adapters/standalone.cpp:202 main] Creating patch manager [0.661 info adapters/standalone.cpp:205 main] Creating window [0.942 info src/window/Window.cpp:352 Window] Window content scale: 1.000000 [0.973 info src/window/Window.cpp:413 Window] Renderer: NVIDIA Corporation GeForce GTX 1080/PCIe/SSE2 [0.973 info src/window/Window.cpp:414 Window] OpenGL: 4.6.0 NVIDIA 456.71 [0.975 info src/window/Window.cpp:50 loadFile] Loaded font C:/Program Files/VCV/Rack2Pro/res/fonts/DejaVuSans.ttf [0.975 info src/patch.cpp:368 hasAutosave] Loading autosave C:/Users/k-cha/Documents/Rack2/autosave/patch.json [0.975 info src/patch.cpp:379 loadAutosave] Loading autosave C:/Users/k-cha/Documents/Rack2/autosave/patch.json [0.982 info src/engine/Engine.cpp:1395 fromJson] Creating module VCV Audio 2 [0.982 info src/rtaudio.cpp:52 RtAudioDevice] Creating RtAudio WASAPI device [0.997 info src/rtaudio.cpp:119 openStream] Opening RtAudio WASAPI device 0: Focusrite USB (Focusrite USB Audio) (0 in, 2 out, 48000 sample rate, 256 block size) [1.037 info src/rtaudio.cpp:129 openStream] Starting RtAudio WASAPI device 0 [1.037 info src/engine/Engine.cpp:1395 fromJson] Creating module PurrSoftware Meander [1.037 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu Clocked [1.037 info src/engine/Engine.cpp:1395 fromJson] Creating module Submarine TD-202 [1.037 info src/engine/Engine.cpp:1395 fromJson] Creating module Submarine TD-202 [1.037 info src/engine/Engine.cpp:1395 fromJson] Creating module Submarine TD-202 [1.037 info src/engine/Engine.cpp:1395 fromJson] Creating module Submarine TD-202 [1.037 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [1.037 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [1.038 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [1.038 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [1.038 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [1.038 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [1.038 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [1.038 info src/engine/Engine.cpp:1395 fromJson] Creating module Valley Plateau [1.041 info src/engine/Engine.cpp:1395 fromJson] Creating module Alright Devices Chronoblob2 [1.042 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [1.042 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [1.042 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [1.042 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [1.042 info src/engine/Engine.cpp:1395 fromJson] Creating module Little Utils Teleport Out [1.042 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinktronix Harmony 1 [1.044 info src/engine/Engine.cpp:1395 fromJson] Creating module VCV Split [1.044 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [1.044 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [1.044 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio DGATE [1.044 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu Clocked [1.044 info src/engine/Engine.cpp:1395 fromJson] Creating module VCV Recorder [1.044 info src/engine/Engine.cpp:1395 fromJson] Creating module MindMeld AuxSpander [1.044 info src/engine/Engine.cpp:1395 fromJson] Creating module MindMeld MixMaster [1.044 info src/engine/Engine.cpp:1395 fromJson] Creating module Little Utils Teleport In [1.044 info src/engine/Engine.cpp:1395 fromJson] Creating module NYSTHI FixedVoltageSource [1.067 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Switch 16-1 [1.067 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinktronix Arpeggiator 1 [1.067 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Switch 8-1 [1.067 info src/engine/Engine.cpp:1395 fromJson] Creating module NYSTHI FixedVoltageSource [1.067 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu Clocked [1.067 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu Clocked [1.067 info src/engine/Engine.cpp:1395 fromJson] Creating module Submarine TD-202 [1.067 info src/engine/Engine.cpp:1395 fromJson] Creating module Submarine TD-202 [1.067 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula 16 Step Sequencer [1.068 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinktronix Arpeggiator 1 [1.068 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio DGATE [1.068 info src/engine/Engine.cpp:1395 fromJson] Creating module Submarine TD-202 [1.068 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [1.068 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Switch 8-1 [1.068 info src/engine/Engine.cpp:1395 fromJson] Creating module VCV Octave [1.068 info src/engine/Engine.cpp:1395 fromJson] Creating module NYSTHI FixedVoltageSource [1.068 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Switch 8-1 [1.068 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Switch 8-1 [1.068 info src/engine/Engine.cpp:1395 fromJson] Creating module NYSTHI FixedVoltageSource [1.068 info src/engine/Engine.cpp:1395 fromJson] Creating module Submarine TD-202 [1.068 info src/engine/Engine.cpp:1395 fromJson] Creating module Submarine TD-202 [1.068 info src/engine/Engine.cpp:1395 fromJson] Creating module NYSTHI FixedVoltageSource [1.068 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Switch 8-1 [1.068 info src/engine/Engine.cpp:1395 fromJson] Creating module ML Modules Volt Meter [1.068 info src/engine/Engine.cpp:1395 fromJson] Creating module ML Modules Volt Meter [1.068 info src/engine/Engine.cpp:1395 fromJson] Creating module ML Modules Volt Meter [1.068 info src/engine/Engine.cpp:1395 fromJson] Creating module Submarine TD-202 [1.068 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinky Labs Organ Three [1.068 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinky Labs Organ Three [1.068 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinky Labs Organ Three [1.068 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [1.068 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [1.068 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [1.068 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinky Labs Organ Three [1.068 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [1.069 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinky Labs Organ Three [1.069 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinky Labs Organ Three [1.069 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [1.069 info src/engine/Engine.cpp:1395 fromJson] Creating module MindMeld MixMasterJr [1.069 info src/engine/Engine.cpp:1395 fromJson] Creating module VCV Tom [1.069 info src/engine/Engine.cpp:1395 fromJson] Creating module VCV Closed Hat [1.069 info src/engine/Engine.cpp:1395 fromJson] Creating module VCV Snare [1.069 info src/engine/Engine.cpp:1395 fromJson] Creating module VCV Kick [1.069 info src/engine/Engine.cpp:1395 fromJson] Creating module Squinky Labs Organ Three [1.069 info src/engine/Engine.cpp:1395 fromJson] Creating module Bogaudio LLFO [1.073 info src/engine/Engine.cpp:1395 fromJson] Creating module Submarine TD-202 [1.075 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [1.076 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [1.076 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [1.078 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [1.078 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [1.080 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [1.081 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [1.082 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [1.083 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [1.085 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [1.087 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [1.087 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [1.089 info src/engine/Engine.cpp:1395 fromJson] Creating module VCV Split [1.089 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [1.089 info src/engine/Engine.cpp:1395 fromJson] Creating module Impromptu FourView [1.089 info src/engine/Engine.cpp:1395 fromJson] Creating module Submarine TD-202 [1.089 info src/engine/Engine.cpp:1395 fromJson] Creating module RCM CV Momentary [1.089 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Startup Delay [1.089 info src/engine/Engine.cpp:1395 fromJson] Creating module Count Modula Boolean OR Gate [1.089 info src/engine/Engine.cpp:1395 fromJson] Creating module Surge for Rack SurgeRotary [1.089 info src/SurgeModuleCommon.hpp:96 showBuildInfo] [SurgeRack] Instance: Module=ROTARY BuildInfo=os:win pluggit:fb9c9a2 surgegit:5270cfb9 buildtime=Dec 4 2021 19:24:35 [1.095 info src/SurgeModuleCommon.cpp:105 setupSurgeCommon] [SurgeRack] storage::dataPath = 'C:/Users/k-cha/Documents/Rack2/plugins/SurgeRack/build/surge-data/' [1.095 info src/SurgeModuleCommon.cpp:106 setupSurgeCommon] [SurgeRack] storage::userDataPath = '' [1.125 info src/app/RackWidget.cpp:327 fromJson] Creating module widget VCV Audio 2 [1.126 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/Core/Audio2.svg [1.126 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/ScrewSilver.svg [1.126 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/RoundLargeBlackKnob.svg [1.126 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/RoundLargeBlackKnob_bg.svg [1.127 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/PJ301M.svg [1.127 info src/app/RackWidget.cpp:327 fromJson] Creating module widget PurrSoftware Meander [1.127 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/PS-PurrSoftware/res/Meander-light.svg [1.127 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/PS-PurrSoftware/res/Meander-dark.svg [1.127 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/VCVButton_0.svg [1.127 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/VCVButton_1.svg [1.128 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/MediumLight.svg [1.128 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/Trimpot.svg [1.128 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/Trimpot_bg.svg [1.128 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/PS-PurrSoftware/res/TinyPJ301M.svg [1.132 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu Clocked [1.135 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/ImpromptuModular/res/panels/Clocked.svg [1.135 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/ScrewBlack.svg [1.135 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/Rogan1PSWhite.svg [1.135 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/Rogan1PS_bg.svg [1.135 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/ImpromptuModular/res/comp/complib/Rogan1PSWhite_fg.svg [1.136 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/VCVBezel.svg [1.136 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/TL1105_0.svg [1.136 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/TL1105_1.svg [1.136 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/SmallLight.svg [1.136 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/ImpromptuModular/res/comp/complib/Trimpot.svg [1.136 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/ImpromptuModular/res/comp/complib/Trimpot_bg.svg [1.137 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Submarine TD-202 [1.137 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Submarine TD-202 [1.137 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Submarine TD-202 [1.137 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Submarine TD-202 [1.137 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [1.137 info src/skins.cpp:181 loadSkins] Bogaudio: skin information loaded successfully from C:/Users/k-cha/Documents/Rack2/Bogaudio.json [1.138 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Bogaudio/res/LLFO-dark.svg [1.138 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Bogaudio/res/knob_26px-dark.svg [1.138 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Bogaudio/res/button_9px_0.svg [1.138 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Bogaudio/res/button_9px_1_green.svg [1.139 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Bogaudio/res/button_9px_1.svg [1.139 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Bogaudio/res/knob_16px-dark.svg [1.139 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Bogaudio/res/port-dark.svg [1.139 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [1.139 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [1.139 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [1.139 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [1.139 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [1.139 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [1.139 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Valley Plateau [1.142 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/PlateauPanelDark.svg [1.145 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/PlateauPanelLight.svg [1.145 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/PJ301MDarkSmall.svg [1.145 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/PJ301MDarkSmallOut.svg [1.145 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Med/Rogan1PSWhiteMedSmall.svg [1.146 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Med/Rogan1PSMedSmall-bg.svg [1.146 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Med/Rogan1PSWhiteMedSmall-fg.svg [1.146 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Small/Rogan1PSWhiteSmall.svg [1.146 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Small/Rogan1PSSmall-bg.svg [1.146 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Small/Rogan1PSWhiteSmall-fg.svg [1.147 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Med/Rogan1PSGreenMed.svg [1.147 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Med/Rogan1PSMed-bg.svg [1.147 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Med/Rogan1PSGreenMed-fg.svg [1.147 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Med/Rogan1PSBlueMed.svg [1.147 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Med/Rogan1PSBlueMed-fg.svg [1.148 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Med/Rogan1PSRedMed.svg [1.148 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Med/Rogan1PSRedMed-fg.svg [1.148 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Small/Rogan1PSGreenSmall.svg [1.148 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Small/Rogan1PSGreenSmall-fg.svg [1.149 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Small/Rogan1PSBlueSmall.svg [1.149 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Small/Rogan1PSBlueSmall-fg.svg [1.149 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Small/Rogan1PSRedSmall.svg [1.149 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/v2/Small/Rogan1PSRedSmall-fg.svg [1.149 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Valley/res/LightLEDButton80.svg [1.150 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Alright Devices Chronoblob2 [1.158 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/AlrightDevices/res/chronoblob2.svg [1.158 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/Rogan1PSWhite_fg.svg [1.158 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/Rogan3PSWhite.svg [1.158 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/Rogan3PS_bg.svg [1.159 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/Rogan3PSWhite_fg.svg [1.159 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/AlrightDevices/res/PB6149L-off.svg [1.159 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/AlrightDevices/res/SSSF012100-left.svg [1.159 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/AlrightDevices/res/SSSF012100-right.svg [1.159 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [1.159 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [1.160 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [1.160 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [1.160 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Little Utils Teleport Out [1.160 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/LittleUtils/res/TeleportOut.svg [1.160 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/TinyLight.svg [1.161 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Squinktronix Harmony 1 [1.161 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/squinkytronix-plug1/res/blank-panel-4.svg [1.161 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/squinkytronix-plug1/res/pattern-four.svg [1.161 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/CKSSThree_0.svg [1.161 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/CKSSThree_1.svg [1.161 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/CKSSThree_2.svg [1.162 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/CKSS_0.svg [1.162 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/CKSS_1.svg [1.162 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/RoundBlackKnob.svg [1.162 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/RoundBlackKnob_bg.svg [1.162 info src/app/RackWidget.cpp:327 fromJson] Creating module widget VCV Split [1.162 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Fundamental/res/Split.svg [1.163 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [1.163 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/ImpromptuModular/res/panels/FourView.svg [1.163 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [1.164 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio DGATE [1.164 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Bogaudio/res/DGate-dark.svg [1.164 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Bogaudio/res/knob_29px-dark.svg [1.165 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Bogaudio/res/slider_switch_2_14px_0.svg [1.165 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Bogaudio/res/slider_switch_2_14px_1.svg [1.165 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Bogaudio/res/button_18px_0.svg [1.165 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Bogaudio/res/button_18px_1.svg [1.165 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu Clocked [1.165 info src/app/RackWidget.cpp:327 fromJson] Creating module widget VCV Recorder [1.166 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/VCV-Recorder/res/Recorder.svg [1.166 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/RoundBigBlackKnob.svg [1.166 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/RoundBigBlackKnob_bg.svg [1.166 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/VCV-Recorder/res/RecButton.svg [1.167 info src/app/RackWidget.cpp:327 fromJson] Creating module widget MindMeld AuxSpander [1.180 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/dark/auxspander.svg [1.180 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/jack.svg [1.181 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/knob-grey-7.5.svg [1.181 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/knob-bg-7.5.svg [1.181 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/fader-aux-bg.svg [1.181 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/fader-channel.svg [1.181 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/mute-off.svg [1.181 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/mute-on.svg [1.182 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/solo-off.svg [1.182 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/solo-on.svg [1.182 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/group-minus.svg [1.182 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/group-minus-active.svg [1.182 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/group-plus.svg [1.182 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/group-plus-active.svg [1.183 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/knob-red-7.5.svg [1.183 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/knob-orange-7.5.svg [1.183 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/knob-blue-7.5.svg [1.183 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/knob-purple-7.5.svg [1.184 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/jack-poly.svg [1.184 info src/app/RackWidget.cpp:327 fromJson] Creating module widget MindMeld MixMaster [1.192 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/dark/mixmaster.svg [1.192 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/fader-channel-bg.svg [1.196 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/fader-master-bg.svg [1.196 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/fader-master.svg [1.196 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/dim-off.svg [1.197 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/dim-on.svg [1.197 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/mono-off.svg [1.197 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/mono-on.svg [1.197 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Little Utils Teleport In [1.198 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/LittleUtils/res/TeleportIn.svg [1.198 info src/app/RackWidget.cpp:327 fromJson] Creating module widget NYSTHI FixedVoltageSource [1.198 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/NYSTHI/res/FixedVoltageSource.svg [1.198 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/NYSTHI/res/ctrls/pyer/colored_pj301m_styled/PJ301M_18_INGATE.svg [1.199 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/NYSTHI/res/ctrls/pyer/colored_pj301m_styled/PJ301M_18_OUCV.svg [1.199 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Count Modula Switch 16-1 [1.201 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Switch16To1.svg [1.201 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Components/ScrewHex.svg [1.201 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Components/Jack.svg [1.202 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Components/Knob-bg.svg [1.202 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Components/Knob-fg.svg [1.202 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Components/KnobRed.svg [1.202 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Components/KnobBlue.svg [1.203 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Components/KnobWhite.svg [1.203 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Components/SW_Toggle_0.svg [1.203 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Components/SW_Toggle_1.svg [1.204 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Components/SW_Toggle_2.svg [1.204 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Squinktronix Arpeggiator 1 [1.204 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Count Modula Switch 8-1 [1.207 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Switch8To1.svg [1.207 info src/app/RackWidget.cpp:327 fromJson] Creating module widget NYSTHI FixedVoltageSource [1.208 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu Clocked [1.208 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu Clocked [1.208 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Submarine TD-202 [1.209 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Submarine TD-202 [1.209 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Count Modula 16 Step Sequencer [1.213 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Sequencer16.svg [1.213 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Components/SW_ToggleS_0.svg [1.214 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Components/SW_ToggleS_1.svg [1.214 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Components/SW_ToggleS_2.svg [1.214 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Components/KnobGreen.svg [1.214 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Components/KnobGrey.svg [1.215 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Squinktronix Arpeggiator 1 [1.215 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio DGATE [1.215 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Submarine TD-202 [1.215 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [1.215 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Count Modula Switch 8-1 [1.215 info src/app/RackWidget.cpp:327 fromJson] Creating module widget VCV Octave [1.215 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/Fundamental/res/Octave.svg [1.215 info src/app/RackWidget.cpp:327 fromJson] Creating module widget NYSTHI FixedVoltageSource [1.216 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Count Modula Switch 8-1 [1.216 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Count Modula Switch 8-1 [1.216 info src/app/RackWidget.cpp:327 fromJson] Creating module widget NYSTHI FixedVoltageSource [1.216 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Submarine TD-202 [1.216 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Submarine TD-202 [1.216 info src/app/RackWidget.cpp:327 fromJson] Creating module widget NYSTHI FixedVoltageSource [1.217 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Count Modula Switch 8-1 [1.217 info src/app/RackWidget.cpp:327 fromJson] Creating module widget ML Modules Volt Meter [1.218 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/ML_modules/res/VoltMeter.svg [1.218 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/ML_modules/res/MLScrew.svg [1.218 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/ML_modules/res/Jack.svg [1.218 info src/app/RackWidget.cpp:327 fromJson] Creating module widget ML Modules Volt Meter [1.219 info src/app/RackWidget.cpp:327 fromJson] Creating module widget ML Modules Volt Meter [1.219 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Submarine TD-202 [1.219 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Squinky Labs Organ Three [1.220 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/squinkylabs-plug1/res/sines-panel.svg [1.220 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/squinkylabs-plug1/res/RoganSLBlue30.svg [1.221 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/squinkylabs-plug1/res/RoganSLBlue30-bg.svg [1.221 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/squinkylabs-plug1/res/RoganSLBlue30-fg.svg [1.221 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/squinkylabs-plug1/res/scaletx.svg [1.222 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/squinkylabs-plug1/res/blue-handle-16.svg [1.222 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/squinkylabs-plug1/res/blue-handle-513.svg [1.223 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/squinkylabs-plug1/res/white-handle-8.svg [1.223 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/squinkylabs-plug1/res/white-handle-4.svg [1.223 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/squinkylabs-plug1/res/black-handle-223.svg [1.224 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/squinkylabs-plug1/res/white-handle-2.svg [1.224 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/squinkylabs-plug1/res/black-handle-135.svg [1.224 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/squinkylabs-plug1/res/black-handle-113.svg [1.224 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/squinkylabs-plug1/res/white-handle-1.svg [1.224 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Squinky Labs Organ Three [1.224 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Squinky Labs Organ Three [1.225 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [1.225 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [1.225 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [1.225 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Squinky Labs Organ Three [1.225 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [1.225 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Squinky Labs Organ Three [1.225 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Squinky Labs Organ Three [1.226 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [1.226 info src/app/RackWidget.cpp:327 fromJson] Creating module widget MindMeld MixMasterJr [1.231 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/dark/mixmaster-jr.svg [1.233 info src/app/RackWidget.cpp:327 fromJson] Creating module widget VCV Tom [1.234 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/VCV-Drums/res/Tom.svg [1.234 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/VCV-Drums/res/DrumButton.svg [1.234 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/VCV-Drums/res/DrumButton_1.svg [1.234 info src/app/RackWidget.cpp:327 fromJson] Creating module widget VCV Closed Hat [1.235 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/VCV-Drums/res/ClosedHat.svg [1.236 info src/app/RackWidget.cpp:327 fromJson] Creating module widget VCV Snare [1.237 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/VCV-Drums/res/Snare.svg [1.237 info src/app/RackWidget.cpp:327 fromJson] Creating module widget VCV Kick [1.239 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/VCV-Drums/res/Kick.svg [1.239 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Squinky Labs Organ Three [1.239 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Bogaudio LLFO [1.239 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Submarine TD-202 [1.239 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [1.239 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [1.239 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [1.239 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [1.240 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [1.240 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [1.240 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [1.240 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [1.240 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [1.240 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [1.240 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [1.240 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [1.241 info src/app/RackWidget.cpp:327 fromJson] Creating module widget VCV Split [1.241 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [1.241 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Impromptu FourView [1.241 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Submarine TD-202 [1.241 info src/app/RackWidget.cpp:327 fromJson] Creating module widget RCM CV Momentary [1.243 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/rcm/res/CVMmt.svg [1.243 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/rcm/res/PB61303White.svg [1.243 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Count Modula Startup Delay [1.244 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/StartupDelay.svg [1.244 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/Components/KnobViolet.svg [1.244 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Count Modula Boolean OR Gate [1.244 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/CountModula/res/BooleanOR.svg [1.245 info src/app/RackWidget.cpp:327 fromJson] Creating module widget Surge for Rack SurgeRotary [1.245 info src/SurgeStyle.cpp:189 loadStyle] Loading default style [1.245 info src/SurgeStyle.cpp:201 loadStyle] styleXML is now C:/Users/k-cha/Documents/Rack2/plugins/SurgeRack/res/skins/Classic.xml [1.245 info src/SurgeStyle.cpp:213 loadStyle] Loading styles [1.245 info src/SurgeStyle.cpp:225 loadStyle] Loading skin 'C:/Users/k-cha/Documents/Rack2/plugins/SurgeRack/res/skins/Classic.xml' [1.245 info src/SurgeStyle.cpp:266 loadStyle] Found Assets [1.246 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/SurgeRack/res/vectors/surgeKnobRotateBG.svg [1.246 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/SurgeRack/res/vectors/surgeKnobOverlay.svg [1.246 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/SurgeRack/res/vectors/surgeKnobRotateFG.svg [1.246 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/SurgeRack/res/vectors/SurgeSwitch_0.svg [1.246 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/SurgeRack/res/vectors/SurgeSwitch_1.svg [1.247 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/Plug.svg [1.247 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Program Files/VCV/Rack2Pro/res/ComponentLibrary/PlugPort.svg [1.254 info adapters/standalone.cpp:240 main] Running window [1.288 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/fade-off.svg [1.288 info src/window/Svg.cpp:28 loadFile] Loaded SVG C:/Users/k-cha/Documents/Rack2/plugins/MindMeldModular/res/comp/mixer/fade-on.svg [1.296 info src/window/Window.cpp:50 loadFile] Loaded font C:/Users/k-cha/Documents/Rack2/plugins/PS-PurrSoftware/res/EurostileBold.ttf [1.298 info src/window/Window.cpp:50 loadFile] Loaded font C:/Users/k-cha/Documents/Rack2/plugins/PS-PurrSoftware/res/Ubuntu Condensed 400.ttf [1.298 info src/window/Window.cpp:50 loadFile] Loaded font C:/Users/k-cha/Documents/Rack2/plugins/PS-PurrSoftware/res/Musisync-KVLZ.ttf [1.301 info src/window/Window.cpp:50 loadFile] Loaded font C:/Users/k-cha/Documents/Rack2/plugins/PS-PurrSoftware/res/Segment7Standard.ttf [1.302 info src/window/Window.cpp:50 loadFile] Loaded font C:/Users/k-cha/Documents/Rack2/plugins/LittleUtils/res/fonts/RobotoMono-Bold.ttf [1.302 info src/window/Window.cpp:50 loadFile] Loaded font C:/Program Files/VCV/Rack2Pro/res/fonts/DSEG7ClassicMini-BoldItalic.ttf [1.304 info src/window/Window.cpp:50 loadFile] Loaded font C:/Program Files/VCV/Rack2Pro/res/fonts/Nunito-Bold.ttf [1.304 info src/window/Window.cpp:50 loadFile] Loaded font C:/Program Files/VCV/Rack2Pro/res/fonts/ShareTechMono-Regular.ttf [1.304 info src/window/Window.cpp:50 loadFile] Loaded font C:/Users/k-cha/Documents/Rack2/plugins/ImpromptuModular/res/fonts/Segment14.ttf [1.308 info src/window/Window.cpp:50 loadFile] Loaded font C:/Users/k-cha/Documents/Rack2/plugins/NYSTHI/res/fonts/LEDCalculator.ttf [2.066 info src/window/Window.cpp:50 loadFile] Loaded font C:/Users/k-cha/Documents/Rack2/plugins/squinkytronix-plug1/res/Bravura.otf [2.520 fatal adapters/standalone.cpp:49 fatalSignalHandler] Fatal signal 11. Stack trace: 22: 0x0 21: 0x0 20: _C_specific_handler 0x7ffe7a02acc0 19: _chkstk 0x7ffe7a9e85c0 18: RtlRestoreContext 0x7ffe7a975240 17: KiUserExceptionDispatcher 0x7ffe7a9e76d0 16: ZN4rack6widget6Widget4stepEv 0x7ffdb9e675a0 15: ZN4rack6widget6Widget4stepEv 0x7ffdb9e675a0 14: ZN4rack6widget6Widget4stepEv 0x7ffdb9e675a0 13: ZN4rack6widget6Widget4stepEv 0x7ffdb9e675a0 12: ZN4rack6widget6Widget4stepEv 0x7ffdb9e675a0 11: ZN4rack6widget6Widget4stepEv 0x7ffdb9e675a0 10: ZN4rack2ui12ScrollWidget4stepEv 0x7ffdb9e5fbb0 9: ZN4rack3app16RackScrollWidget4stepEv 0x7ffdb9e39fa0 8: ZN4rack6widget6Widget4stepEv 0x7ffdb9e675a0 7: ZN4rack6window6Window4stepEv 0x7ffdb9e69e50 6: ZN4rack6window6Window3runEv 0x7ffdb9e6a810 5: ZN4rack6window6Window3runEv 0x7ffdb9e6a810 4: ZN4rack6window6Window3runEv 0x7ffdb9e6a810 3: ZN4rack6window6Window3runEv 0x7ffdb9e6a810 2: ZN4rack6window6Window3runEv 0x7ffdb9e6a810 1: BaseThreadInitThunk 0x7ffe795154d0 0: RtlUserThreadStart 0x7ffe7a944830 [2.526 fatal adapters/standalone.cpp:49 fatalSignalHandler] Fatal signal 22. Stack trace: 39: 0x0 38: raise 0x7ffe7a02d9c0 37: abort 0x7ffe7a0320b0 36: ZN9__gnu_cxx27__verbose_terminate_handlerEv 0x7ffe30faf4c0 35: ZN10__cxxabiv111__terminateEPFvvE 0x7ffe30fa5cd0 34: ZSt9terminatev 0x7ffe310978d0 33: stbi_write_png 0x7ffdb9df1c60 32: gai_strerrorW 0x7ffdba2906a0 31: gai_strerrorW 0x7ffdba2906a0 30: gai_strerrorW 0x7ffdba2906a0 29: RtlActivateActivationContextUnsafeFast 0x7ffe7a97fbf0 28: LdrShutdownProcess 0x7ffe7a994120 27: RtlExitUserProcess 0x7ffe7a994050 26: ExitProcess 0x7ffe7951c660 25: exit 0x7ffe7a03d0c0 24: initterm_e 0x7ffe7a03d570 23: raise 0x7ffe7a02d9c0 22: raise 0x7ffe7a02d9c0 21: raise 0x7ffe7a02d9c0 20: _C_specific_handler 0x7ffe7a02acc0 19: _chkstk 0x7ffe7a9e85c0 18: RtlRestoreContext 0x7ffe7a975240 17: KiUserExceptionDispatcher 0x7ffe7a9e76d0 16: ZN4rack6widget6Widget4stepEv 0x7ffdb9e675a0 15: ZN4rack6widget6Widget4stepEv 0x7ffdb9e675a0 14: ZN4rack6widget6Widget4stepEv 0x7ffdb9e675a0 13: ZN4rack6widget6Widget4stepEv 0x7ffdb9e675a0 12: ZN4rack6widget6Widget4stepEv 0x7ffdb9e675a0 11: ZN4rack6widget6Widget4stepEv 0x7ffdb9e675a0 10: ZN4rack2ui12ScrollWidget4stepEv 0x7ffdb9e5fbb0 9: ZN4rack3app16RackScrollWidget4stepEv 0x7ffdb9e39fa0 8: ZN4rack6widget6Widget4stepEv 0x7ffdb9e675a0 7: ZN4rack6window6Window4stepEv 0x7ffdb9e69e50 6: ZN4rack6window6Window3runEv 0x7ffdb9e6a810 5: ZN4rack6window6Window3runEv 0x7ffdb9e6a810 4: ZN4rack6window6Window3runEv 0x7ffdb9e6a810 3: ZN4rack6window6Window3runEv 0x7ffdb9e6a810 2: ZN4rack6window6Window3runEv 0x7ffdb9e6a810 1: BaseThreadInitThunk 0x7ffe795154d0 0: RtlUserThreadStart 0x7ffe7a944830